KR20010031044A - 금속에의 유전체 접합을 개선시키기 위한 방법 - Google Patents

금속에의 유전체 접합을 개선시키기 위한 방법 Download PDF

Info

Publication number
KR20010031044A
KR20010031044A KR1020007003867A KR20007003867A KR20010031044A KR 20010031044 A KR20010031044 A KR 20010031044A KR 1020007003867 A KR1020007003867 A KR 1020007003867A KR 20007003867 A KR20007003867 A KR 20007003867A KR 20010031044 A KR20010031044 A KR 20010031044A
Authority
KR
South Korea
Prior art keywords
chamber
fluorine
substrate
film
gas
Prior art date
Application number
KR1020007003867A
Other languages
English (en)
Other versions
KR100579753B1 (ko
Inventor
터것 사힌
야신 왕
밍 시
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010031044A publication Critical patent/KR20010031044A/ko
Application granted granted Critical
Publication of KR100579753B1 publication Critical patent/KR100579753B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 비정질 탄화불소 필름을, 필름이 증착될 기판에 인가하는 고바이어스 전력(high bias power)을 사용하여 증착하는 방법을 제공한다. 본 발명은 필름의 프레그먼티드 불소(fragmented fluorine)를 결합시키기 위해, 탄소원의 분자비가 동일하도록 일정한 속도와 전력레벨로 탄소 선구체(precursor)를 유동시킴으로써, 갭필능력(gap fill performance)을 개선하면서 필름의 질을 높이는 것을 의도한다. 또 본 발명은 첫 번째로 금속 또는 TiN 접착층을 금속 표면에 증착하고, 이어서 증착된 접착층의 표면에 질소를 스터핑(stuffing)함으로써, 금속 표면에의 비정질 불소 필름 접착을 개선시키는 방법을 제공한다. 접착은 챔버 벽을 질화실리콘 또는 질산화실리콘으로 코팅함으로써 더욱 개선된다.

Description

금속에의 유전체 접합을 개선시키기 위한 방법{METHOD TO IMPROVE ADHESION OF DIELECTRIC ON METAL}
지난 10년 동안 집적회로 설계 및 조립에 있어서 일관적이고도 상당히 예측 가능한 개선이 이루어져 왔다. 그러나 동작 주파수(operation frequencies)가 1GHz에 이르며 인터커넥트 사이즈(interconnect size)가 0.25㎛ 이하인 새로운 초고집적(ultra large scale integration; ULSI) 제품에 대해서는, 인터커넥트 저항-커패시턴스(RC) 딜레이(interconnect resistance-capacitance(RC) delay)가 집적회로 클록 타임 중 상당히 큰 부분을 차지할 것이므로, 요구되는 성능을 달성하기 위해서는 아주 새롭고 다른 제조 방식이 요구될 것이다. RC 딜레이는 인터커넥트 저항 및 유전용량(dielectric capacitance)에 직접 관련되어 있으므로, 당업계에서는 유전상수(dielectric constants)와 비저항(resistivity)이 매우 낮은 새로운 재료의 개발에 집중하고 있다.
유전체 분야에서, 현재 표준인 이산화규소(SiO2)를 대체할 다양한 종류의 재료가 연구되고 있다. 유전상수(K)가 ∼4인 SiO2가 K값이 ∼2.5인 다른 재료로 대체된다면 RC 딜레이 및 크로스 토크(cross talk)가 상당히 감소되어 전체 회로 성능이 현저히 개선될 것이다. 원하는 성능을 만족시키기 위한 0.18㎛ 소자 제조(device generation)를 위해서는 유전상수가 3.0 미만이어야 함이 잘 알려져 있다.
SiO2를 대체할 수 있는 재료로서 유전상수가 낮은 다양한 종류의 재료가 연구되고 있다. 그러나 유전상수는 만족되어야 할 여러 가지 중요한 조건 중 하나에 불과함을 명심하여야 할 것이다. 현재 및 미래 조립 공정에의 연계(integration) 용이성과 경제적 요소(예를 들어 비용)에 의해, 차세대 인터메탈 유전체(intermetal dielectric; IMD)로서 사용되기 위한 재료로서의 가능성이 결정될 것이다. 연계 능력은 접착(adhesion), 열적 안정성, 열전도도, 기계적 강도 및 갭필능력 등과 같은 중요한 성질에 의해 결정될 것이다. 비용은 재료비, 폐기물 처리비(스핀온(spin-on) 기술의 경우 특히 높은 것으로 알려져 있다), 제조장비의 자본 비용뿐만 아니라 필요한 연계 단계의 수에 의해 결정될 것이다. 이상적으로 유전상수가 낮은 재료는 현재의 프로세스 흐름(existing process flow)에 쉽게 연계되며 기존 장비를 사용함으로써 현재의 공정보다 비용이 더 들지 않는다.
유전상수가 낮은 재료를 얻기 위한 가장 유망한 접근은 CVD-증착된 재료이다. 플라즈마 증착(plasma assisted depositions) 메커니즘에 의하면 다른 증착 기술보다 밀도와 기계적 강도가 매우 높은 재료가 얻어진다. 게다가 스핀온(spin-on) 방법과 같은 습식법에 비하여 CVD 필름의 연계가 상당히 단순하며 특성이 좋다. 기존의 플라즈마 CVD 장비 및 단순한 제조방법의 사용 가능성에 따라, CVD 재료는 연계 및 경제성 양자의 관점에서 매력적으로 되고 있다.
CVD 증착된 재료 중에서 높은 열적 안정성, 낮은 유전상수값(2.3 정도의 낮은), SiO2와 비슷한 열전도율, 및 양호한 기계적 강도 때문에 비정질 탄화불소(α-FC)가 유망하다. 최근 Matsubara 등의 "Low-k Fluorinated Amorphous Carbon Interlayer Technology for Quarter Micron Devices", IEDM, p 369-372(1996)에는 3레벨 금속화 구조(three-level metalization structure)에서 인터메탈 유전체로서 α-FC의 성공적인 사용 및 연계가 설명되어 있다. 이 유전체를 사용하여 라인 커패시턴스(line capacitance)를 50% 감소시켰음이 보고되어 있다.
그러나 크기가 작아짐에 따라 갭필능력이 중요한 문제가 된다. 갭필능력이란 일반적으로, 트렌치(trenches)로 알려진 금속선 사이의 영역을 채우는 프로세스의 능력을 말한다. 갭필 결과를 향상시키기 위해 제자리 스퍼터 에칭 및 증착(in situ sputter etch and deposition)의 장점을 이용하고자 고밀도 플라즈마 처리를 연계된 시퀀스(integrated sequence)에 결합하는 것이 최근의 경향이다. HDP-CVD에서, 증착시 기판 부위에 스퍼터링되는 이온을 끌어들이기 위해 바이어스 전력이 기판에 결합됨으로써, 트렌치가 증착 재료로 완전히 채워지기 전에 증착 재료가 트렌치 너머로 수렴되는(converges), 이른바 크라우닝(crowning)으로 알려진 현상이 방지된다. 기판 부위(즉 트렌치 사이의 영역)에서 증착속도를 조절함으로써 0.25㎛ 이하 구조(features)의 개선된 갭필능력이 얻어질 수 있다.
α-FC 필름의 한 가지 문제는 고바이어스전력 인가에 따라 불소의 프레그멘테이션(fragmentation)이 촉진되고 이 불소가 이후 느슨하게 결합된 F 또는 CFx(x = 1∼4)로서 결과물 필름에 결합된다는 점이다. 글로우 방전 조건에서 유기 탄화불소 분자가 F-와 같은 에칭종(etching species)을 형성하거나 폴리머로 될 것이라는 점이 잘 알려져 있다. 에칭이나 폴리머 반응이 지배적으로 될지 여부는 플라즈마 에너지, 하전된 이온종의 강도, 반응물 비율(reactant ratio) 및 표면 온도에 의존한다. EP 특허출원 5114253.8은 α-FC 필름의 증착에서 고바이어스전력에 따른 문제를 논의하고, 고바이어스 전력을 사용하지 않음으로써 이 문제를 해결하고자 하였다.
다른 문제는 불소 함유 유전체 증착시 발생되는 불소가 챔버 벽과 챔버 구성부품에 의해 흡수되고, 이후의 증착 단계에서 가스화되어 나오는 점이다. 불소는 기판의 금속 표면을 부식시켜 양호한 접착을 방해한다. 질화티타늄은 종종 유전체층과 금속층 사이의 배리어층(barrier layer)으로서 사용되며 반응 기체의 확산을 어느 정도 막는다. 그러나 질화티타늄이 금속표면과 불소 함유 유전체의 접착을 현저하게 개선하는 것은 아니다.
따라서 유전상수가 매우 낮은 α-FC 필름의 증착을 위해서는 HDP-CVD 기술의 적용을 개선할 필요가 있다. 단일 포스트 증착 어닐(single post deposition anneal)에 안정적이며, 0.25㎛ 구조 또는 그 이하에서 우수한 갭필능력을 나타내며, 고밀도 플라즈마 증착을 사용하여 증착될 수 있으며, 유전상수(k)가 2.8 또는 이보다 작은 α-FC 필름을 제공하는 것이 유리하다.
본 발명은 넓게는 고밀도 플라즈마 화학기상증착(HDP-CVD) 기술을 이용한 비정질 탄화불소(fluorocarbon)의 증착에 관한 것이다. 구체적으로 본 발명은 갭필능력(gap fill performance)을 높이기 위해 고바이어스 전력(high bias power)을 이용하여 비정질 탄화불소 필름을 증착하는 방법에 관한 것이다. 더욱 구체적으로 본 발명은 기판 위에 형성된 금속 표면에의, 불소 함유 유전체(fluorine containing dielectric material) 접착의 개선에 관련된 것이다.
도 1a는 본 발명에 따른 고밀도 플라즈마 화학기상증착 시스템의 한 실시예를 단순화시켜 나타내는 도면이다;
도 1b는 도 1a의 예시적인 CVD 프로세싱 챔버와 함께 사용될 수 있는 가스링(gas ring)을 단순화시켜 나타내는 단면도이다;
도 1c는 도 1a의 예시적인 CVD 프로세싱 챔버와 함께 사용될 수 있는 모니터와 라이트펜(light pen)을 단순화시켜 나타내는 도면이다;
도 1d는 도 1a의 예시적인 CVD 프로세싱 챔버를 제어하기 위해 사용되는 프로세스 제어 컴퓨터 프로그램의 한 예의 플로우챠트이다;
도 2a 및 2b는 각각 높고 낮은 바이어스 전력에서 증착된 필름 2개의 현미경 사진 비교를 나타낸다;
도 3은 바이어스 전력과 필름 수축(film shrinkage)의 관계를 나타내는 그래프이다;
도 4는 C4F8:CH4비와, 필름 수축 및 증착속도 양자와의 관계를 나타내는 그래프이다;
도 5는 어닐링 시간과 유전상수의 관계를 나타내는 그래프이다;
도 6a는 종래 방법으로 증착된 알루미늄 라인을 포함하는 기판의 개략적인 부분 단면도이다;
도 6b는 도 4의 기판의 개략적인 부분 단면도로서, 본 발명에 의해 기판에 증착되어 질소에 노출된 질화티타늄층을 나타낸다;
도 6c는 도 5의 기판의 개략적인 부분 단면도로서, 기판에 증착된 불소 함유 유전체를 나타낸다.
본 발명은 열적으로 안정적이며 유전상수가 낮은 비정질 탄화불소필름을 형성하는 방법에 관한 것이다. 이 방법은, 기판을 프로세스 챔버에 도입하고 바이어스 전원에 연결된 지지부재(support member)에 위치시키는 단계, 탄소원 가스(carbon source gas)와 불소원 가스(fluorine source gas)를 프로세스 챔버 내로 도입하는 단계, 챔버 내에서 플라즈마를 발생시키기에 충분한 전원(source power)을 챔버 내로 공급하는 단계, 기판 위의 제자리 스퍼터 증착을 얻기에 충분한 전력 레벨로 지지부재에 바이어스 전력을 인가하는 단계들을 포함한다. 탄소가스원과 불소가스원은 F:C의 원자비율을 2보다 작게 유지하기에 충분한 양으로 도입하는 것이 바람직하다.
본 발명의 다른 특징에 의하면 챔버 표면으로부터 불소 또는 불소 화합물이 가스화되어 나오는 것을 방지하기 위해, 기판 처리 전에 증착 챔버 내벽 표면에 질화실리콘 또는 질산화실리콘(silicon oxynitride)의 시즌 코팅(season coating)이 제공된다. 한 실시예에서 질화실리콘 또는 질산화실리콘은 챔버 내벽 표면으로부터 불소의 가스화를 막기에 충분한 양으로 증착 챔버 내벽 표면에 증착된다. 결과물인 불소 함유 필름 내로 원하지 않는 자유 불소가 도입되지 않도록, 가스화되어 나오는 불소의 양이 제어될 수 있다. 불소에 의한 금속 표면 부식을 방지하기 위해 본 발명의 이러한 특징은 금속 표면에 형성된 접착층 예를 들어 질소처리된 Ti 또는 TiN의 사용과 결합될 수 있다.
본 발명은 또 기판 위에 형성된 금속 표면에 불소 함유 유전체의 접착을 향상시키기 위한 방법으로서, 금속 표면을 포함하는 기판 위에 티타늄(Ti)이나 질화티타늄(TiN) 같은 접착 금속층을 증착하는 단계, 접착 금속층을 질소에 노출시킴으로써 접착 금속층 표면에 금속/N2면을 형성하는 단계, 접착 금속층의 금속/N2표면에 불소 함유 유전체를 증착하는 단계를 포함하는 방법을 제공한다. 증착된 금속층은 에너지를 용량적으로(capacitively) 또는 유도적으로(inductively) 결합시킴으로써 제공되는 질소 플라즈마에 노출되는 것이 바람직하다.
본 발명은 고밀도 플라즈마 화학증착 기술을 사용하여 기판 또는 다른 작업대상물에 비정질 탄화불소(α-FC) 필름을 증착하는 방법의 개선에 대한 것이다. 일반적으로 필름의 질과 갭필능력은, 기판에 고바이어스전력(∼100W 또는 더 높은)을 인가하는 한편 가스 농도를 제어함으로써 향상시킬 수 있음이 알려져 있다. 구체적으로 α-FC 필름에 있어서, 스퍼터링 바이어스 전력을 기판에 인가하면서 불소원과 탄소원의 상대적인 농도를 제어함으로써 갭필능력이 우수하고 금속에의 접착성이 향상되며, 열적 안정성과 낮은 유전상수가 얻어질 수 있다. 한 실시예에서 비정질 탄화불소 필름은 HDP-CVD 반응기를 사용하여 메탄(CH4) 및 옥타플루오르시클로부탄(C4F8)으로부터 증착된다. 결과물 필름은 450℃까지에서 유전상수값이 2.8보다 작아 열적으로 안정적이다. 결과물 필름은 공지의 α-FC 필름에 비해 분자량 및 가교결합(cross linking)이 증가되고, 스트레스가 개선된 것으로 믿어진다.
본 발명은 또 반응기 벽으로부터 불소 및 다른 오염물질의 가스화 가능성을 감소시키기 위하여, 시즈닝 필름 및, 형성된 불소 함유 필름의 안정성과 접착을 더욱 개선시키는 다른 성분을 반응기의 내부 표면에 증착하는 방법을 제공한다. 한 실시예에서 질화실리콘 또는 질산화실리콘이 약 100Å의 두께로 챔버 내부 표면에 증착된다.
본 발명은 또 기판에 접착층을 증착시키기 위한 방법으로서, Ti나 TiN과 같은 접착 금속층을 기판 위에 증착하는 단계와, 접착 금속층을 질소에 노출시키는 단계를 포함하는 방법을 제공한다. 기판은 프로세스 존(process zone)에 인접하여 위치하는 것이 바람직한데, 이 영역에서는 질소 플라즈마가 발생되어, 증착된 접착 금속층을 질소에 노출시켜("stuffed with"(스터핑하여)) 그 구조를 바꾼다. 이렇게 변화된 표면은 이러한 처리를 하지 않은 Ti/TiN 층에 대해, 불소 함유 유전체의 접착이 개선되는 것으로 믿어진다.
본 발명의 방법은 캘리포니아 산타클라라에 위치한 Applied Materials, Inc.에서 입수 가능한 Ultima HDP-CVD™ Centura(등록상표) System에 의해 실시하는 것이 바람직하다. 이하 이 시스템의 특징을 전반적으로 설명한다. 이하 설명하는 HDP-CVD 시스템이 발명자들이 알고 있는 최선의 시스템이지만, 다른 시스템 역시 본 발명의 방법을 수행하기 위해 사용 또는 사용 가능하게 변형될 수 있다.
도 1a는 본 발명에 의한 유전체층을 증착할 수 있는 HDP-CVD 시스템(10)을 나타낸다. 시스템(10)은 챔버(13), 진공 시스템(70), 플라즈마원 시스템(source plasma system; 80A), 바이어스 플라즈마 시스템(80B), 가스 공급 시스템(33), 원격 플라즈마 세정 시스템(remote plasma cleaning system; 50)을 포함한다.
챔버(13)의 상부에는 알루미나 또는 질화알루미늄과 같은 유전체로 만들어진 돔(dome; 14)이 포함된다. 돔(14)은 플라즈마 처리 영역(16)의 상부 경계를 규정한다. 플라즈마 처리 영역(16)은 기판의 윗면(17)과 기판 지지부재(18)에 의해 하부가 구속된다.
가열판(heater plate; 23) 및 그 위에 놓인 냉판(cold plate; 24)은 돔(14)에 열적으로 결합된다. 가열판(23)과 냉판(24)은 약 100℃ 내지 200℃ 정도의 영역에 대해 약 ±10℃ 정도 내로 돔의 온도를 조절할 수 있게 한다.
챔버(13)의 하부에는 챔버를 스로틀 밸브(26)를 가지는 진공 시스템(70)에 연결하는 몸체부재(22)가 포함된다. 기판지지부재(18)의 저부(21)는 몸체부재(22)에 장착되어 이 몸체부재(22)와 연속적인 내면을 형성한다. 기판은 상부 장착위치(57)에서 챔버(13) 안으로 그리고 챔버로부터 밖으로 이송되며, 하부 프로세싱 위치(56)로 이동되어, 여기서 기판이 기판 지지부재(18)의 기판 수용부(19)에 위치된다. 기판수용부(19)는 기판이 프로세싱되는 동안 기판을 기판 지지부재(18)에 고정시키는 정전척(20)을 포함한다.
소스 플라즈마 시스템(80A)은 돔(14)에 장착되는 탑코일(29) 및 사이드코일(30)을 포함한다. 대칭 그라운드쉴드(symmetrical ground shield)(도시되지 않음)는 코일 사이의 전기적 결합(coupling)을 감소시킨다. 탑코일(29)은 탑소스RF(SRF) 발생기(31A)로부터 전력을 공급받으며, 사이드코일(30)은 사이드SRF 발생기(31B)로부터 전력을 받음으로써, 각 코일에 대해 서로 다른 전력 레벨과 작동주파수가 가능하다. 이러한 이중코일 시스템에 따라 챔버(13)의 반경방향 이온 밀도를 제어할 수 있어, 플라즈마의 균일성이 개선된다. 사이드코일(30)과 탑코일(29)은 유도적으로(inductively) 구동되는 것이 전형적이며 보조전극이 필요 없다. 구체적인 실시예에서 탑소스 RF 발생기(31A)는 공칭 주파수 2MHz에서 2,500와트의 RF 전력을 제공하며, 사이드소스 RF 발생기(31B)는 공칭 주파수 2MHz에서 5,000와트까지의 RF전력을 공급한다. 탑 RF 발생기와 사이드 RF 발생기의 작동주파수는 플라즈마 발생 효율을 높이기 위해 공칭 작동주파수에서 벗어날 수 있다(예를 들어 각각 1.7∼1.9MHz, 1.9∼2.1MHz).
바이어스 플라즈마 시스템(80B)은 바이어스 RF(BRF) 발생기(31C)와 바이어스 매칭 네트워크(bias matching network; 32C)를 포함한다. 바이어스 플라즈마 시스템(80B)은 보조전극의 역할을 하는 몸체부재(22)에 기판부(17)를 용량적으로 결합시킨다. 바이어스 플라즈마 시스템(80B)은 소스플라즈마 시스템(80A)에 의해 발생된 플라즈마종(즉 이온)의 기판 표면에의 전달을 향상시키는 작용을 한다. 특정 실시예에서 바이어스 RF 발생기는 13.56MHz에서 5,000와트에 달하는 RF 전력을 공급한다.
매칭 네트워크(32A, 32B)는 발생기(31A, 31B)의 출력 임피던스를 그들 각각의 코일(29, 30)과 같게 한다. RF 제어 회로는, 로드(load)가 변화함에 따라 발생기를 로드에 매칭시키기 위하여, 매칭 네트워크 내 축전기의 값을 바꿈으로써 양 매칭 네트워크를 동조시킬 수 있다. RF 제어회로는 로드로부터 발생기로 리플렉션된(reflected) 전력이 어떤 한계를 초과할 때 양 매칭 네트워크를 동조할 수 있다. 공동 매치(co match)를 제공하고, RF 제어회로가 매칭 네트워크를 동조시키는 것을 효과적으로 방지하는 한 가지 방법은 리플렉션된 전력의 한계값을 리플렉션된 전력의 임의의 기대값보다 높게 설정하는 것이다. 이에 따라 매칭 네트워크를 가장 최근의 조건으로 일정하게 유지함으로써 어떤 조건하의 플라즈마를 안정시키는데 도움이 될 수 있다.
가스 공급 시스템(33)은 기판을 처리하기 위해 가스 공급라인(38)(일부만이 도시됨)을 통해 다수 개의 소스로부터 챔버로 가스를 공급한다. 가스는 가스링(37)과 톱노즐(45)을 통하여 챔버(13) 내로 도입된다. 도 1b는 가스링(37)의 세부사항을 추가적으로 나타내는 챔버(13)의 부분단면도이다.
한 실시예에서, 제1 가스원(34A) 및 제2 가스원(34D), 제1 가스 유동 컨트롤러(35A') 및 제 2가스 유동 컨트롤러(35D')는 가스공급라인(38)(일부만이 도시됨)을 통하여 가스링(37)의 링플레넘(ring plenum; 36)으로 가스를 공급한다. 가스링(37)은 기판에 균일한 유동의 가스를 공급하는 다수의 소스 가스 노즐(source gas nozzle; 39)(도 1b에는 하나만이 도시됨)을 가진다. 노즐길이 및 노즐각도는 각 챔버 내에서 특정 과정을 위한 가스이용 효율 및 균일한 프로파일을 만들기 위해 바뀔 수 있다. 바람직한 실시예에서 가스링(37)은 12개의 소스 가스 노즐을 가진다.
가스링(37)은 또 복수의 산화제 가스 노즐(40)(그 중 하나만이 도시됨)을 가지며, 바람직한 실시예에서 이 노즐은 소스 가스 노즐(39)과 같은 평면에 있으면서 이보다 짧으며, 한 실시예에서는 바디 플레넘(41)으로부터 가스를 받는다. 다른 실시예에서는 가스를 챔버(13) 내로 분사하기 전에 소스 가스와 산화제 가스를 섞지 않는 것이 바람직하다. 다른 실시예에서, 바디 플레넘(41)과 가스링 플레넘(36) 사이에 구멍(aperture)(미도시)을 형성함으로써 산화제 가스와 소스 가스는 챔버(13) 내로 분사되기 전에 섞일 수 있다. 한 실시예에서 제3 가스원(34B), 제4 가스원(34C), 그리고 제3 가스 유동 컨트롤러(35B'), 제4 가스 유동 컨트롤러(35C)가 가스라인(38)을 통하여 바디 플레넘으로 가스를 공급한다.
다시 도 1a와 관련 챔버(13) 또는 탑노즐(45)과 탑벤트(top vent; 46)를 가진다. 탑노즐(45)과 탑벤트(46)는 가스의 상부 유동 및 측면 유동을 독립적으로 제어할 수 있게 하여, 필름의 균일성을 개선하고 필름의 증착을 정밀하게 조절할 수 있게 한다. 탑벤트(46)는 노즐(45) 둘레의 환상 개구(annular opening)이다. 한 실시예에서 제1 가스원(34A)은 소스 가스 노즐(39)과 탑노즐(45)에 공급되는 C4F8또는 CH4원이다. 소스 노즐 질량 유동 컨트롤러(MFC; 35A')는 소스 가스 노즐(39)로 공급되는 C4F8또는 CH4의 양을 제어하며, 탑노즐 MFC(35A)는 탑가스노즐(45)에 공급되는 C4F8또는 CH4의 양을 제어한다. 이와 유사하게 가스원(34B)과 같은 하나의 산소원으로부터, 벤트(46)와 산화제 가스 노즐(40) 양쪽으로의 산소 유동을 제어하는데 2개의 MFC(35B, 35B')가 사용된다. 탑노즐(45)과 탑벤트(46)로 공급된 가스는 챔버(13)로 흐르기 전에 분리되어 유지되거나, 챔버(13)로 흘러 들어가기 전에 섞일 수 있다. 챔버의 다양한 부위에 공급하기 위해서 동일 가스의 별도 소스가 사용될 수 있다.
시스템 컨트롤러(60)는 시스템(10)의 작동을 제어한다. 바람직한 실시예에서 컨트롤러(60)는 하드디스크 드라이브, 플로피디스크 드라이브(미도시), 카드랙(card rack)(미도시)과 같은 메모리(62)를 포함한다. 카드랙은 싱글보드컴퓨터(single board computer; SBC)(미도시), 디지털 입/출력보드(미도시), 인터페이스보드(미도시), 스테퍼 모터(stepper motor) 컨트롤러 보드(미도시)를 포함할 수 있다. 시스템 컨트롤러는 보드, 카드케이지(card cage), 커넥터 규격 및 형태를 규정하는 베사 모듈라 유러피안(Vesa Modular European; VME) 규격에 부합된다. VME 표준은 또 16비트 데이터 버스와 24비트 어드레스 버스를 가지는 버스 구조를 규정한다. 시스템 컨트롤러(60)는 하드디스크에 저장된 컴퓨터 프로그램, 또는 플로피 디스크에 저장된 것과 같은 다른 컴퓨터 프로그램의 제어 하에 작동된다. 컴퓨터 프로그램은 예를 들어 타이밍, 가스의 혼합, RF 전력레벨 및 특정 공정의 다른 변수를 규정한다. 시스템 컨트롤러(60)는 메모리(62)에 결합된 프로세서(61)를 포함한다. 메모리(62)는 하드디스크인 것이 바람직하지만 ROM, PROM 기타 다른 종류의 메모리일 수도 있음은 물론이다.
시스템 컨트롤러(60)는 컴퓨터 프로그램의 제어 하에 작동된다. 컴퓨터 프로그램은 타이밍, 가스의 혼합, RF 전력레벨 및 특정 공정의 다른 변수를 규정한다. 사용자와 시스템 컨트롤러 사이의 인터페이스는 도 1c에 나타난 것과 같이 CRT 모니터(65)와 라이트 펜(66)을 통해 이루어진다. 바람직한 실시예에서 2개의 모니터(65, 65A)가 사용되는데, 하나는 작업자를 위하여 클린룸의 벽에, 다른 하나는 서비스기술자를 위해 벽 뒤에 설치된다. 이들 양 모니터는 동시에 동일한 정보를 제공하지만 하나의 라이트 펜(예를 들어 66)만이 사용 가능하다. 특정 스크린 또는 기능을 선택하려면, 작업자는 표시 스크린의 한 영역에 펜을 접촉시키고 버튼(미도시)을 누른다. 접촉된 영역은 예를 들어 색이 바뀌거나 새로운 메뉴를 표시함으로써 라이트 펜으로 선택되었음을 확인시켜 준다.
컴퓨터 프로그램 코드는 종래의 컴퓨터 프로그래밍 언어 예를 들어 68000 어셈블리어, C, C++ 또는 파스칼 등에 의해 쓰여질 수 있다. 종래의 텍스트 에디터를 사용, 적절한 프로그램 코드가 하나의 파일 또는 여러 개의 파일로 입력되어, 컴퓨터로 사용할 수 있는 매체 예를 들어 컴퓨터의 메모리 시스템에 저장된다. 만일 입력된 코드 텍스트가 고급언어라면, 코드는 컴파일되고, 결과적인 컴파일러 코드는 미리 컴파일된(precompiled) 윈도우 라이브러리 루틴(window library routine)으로 연결된다. 연결된 컴파일드 목적 코드(linked compiled object code)를 실행하기 위해서 사용자는 목적 코드를 호출해서, 컴퓨터 시스템이 메모리에 있는 코드를 로딩하며, 이로부터 CPU는 프로그램에서 정의된 작업을 수행하기 위한 코드를 실행한다.
도 1d는 컴퓨터 프로그램(300)의 계층적 제어구조를 예시적으로 나타내는 블록도이다. 사용자는 라이트펜 인터페이스를 사용하여 CRT 모니터에 표시된 스크린이나 메뉴에 대한 응답으로서 프로세스 세트 번호와 프로세스 챔버 번호를 프로세스 셀렉터 서브루틴(process selector subroutine; 310)에 입력한다. 프로세스 세트는 특정 프로세스를 수행하기 위해 필요한 미리 설정된 프로세스 변수의 세트이며 미리 설정된 세트 번호로 구별된다. 프로세스 셀렉터 서브루틴은 (ⅰ)다중 챔버 시스템(multichamber system)에서 요구되는 프로세스 챔버, (ⅱ)원하는 프로세스를 수행하기 위해 프로세스 챔버를 작동시키는데 필요한 프로세스 변수의 세트를 구별(identifying)한다. 특정 프로세스를 수행하기 위한 프로세스 변수는 예를 들어 프로세스 가스의 조성 및 유속, 온도, 압력, RF 전력 레벨과 같은 플라즈마 조건, 챔버 돔 온도와 관련되며, 레시피(recipe)의 형태로 사용자에게 제공된다. 레시피에서 특정된 변수들은 라이트펜/CRT 모니터를 사용하여 입력된다.
프로세스를 모니터하기 위한 신호는 시스템 컨트롤러의 아날로그 입력 및 디지털 입력 보드에 의해 제공되며, 프로세스를 제어하기 위한 신호는 시스템 컨트롤러(60)의 아날로그 입력 및 출력보드로 출력된다.
프로세스 시퀀서 서브루틴(process sequencer subroutine; 320)은 프로세스 셀렉터 서브루틴(310)으로부터 확인된 프로세스 챔버와 프로세스 변수의 세트를 받아들여, 다양한 프로세스 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 여러 사용자가 프로세스 세트 넘버와 프로세스 챔버 넘버를 입력하거나, 한 사용자가 여러 프로세스 세트 넘버와 프로세스 챔버 넘버를 입력하여, 시퀀서 서브루틴(320)이 작동함으로써 선택된 프로세스가 원하는 순서대로 계획된다. 시퀀서 서브루틴(320)은 이하의 단계를 수행하기 위한 프로그램 코드를 포함하는 것이 바람직하다. (ⅰ)챔버가 사용 중인지 확인하기 위하여 프로세스 챔버의 작업을 모니터하는 단계, (ⅱ)사용 중인 챔버에 어떤 프로세스가 수행되고 있는지 확인하는 단계, (ⅲ)프로세스 챔버의 사용 가능성과 수행될 프로세스의 형태에 기초하여 원하는 프로세스를 수행하는 단계. 프로세스 챔버를 모니터하기 위해 폴링(polling)과 같은 종래의 방법이 사용될 수 있다. 어떤 프로세스를 실행할 것인지 계획할 때 시퀀서 서브루틴(320)은, 사용되고 있는 프로세스 챔버의 현재 상태를, 선택된 프로세스를 위해 요구되는 프로세스 조건, 또는 각 특정 사용자가 입력한 요구조건의 "대기시간(age)", 또는 계획의 우선 순위를 결정하기 위해 시스템 프로그래머가 포함시키고자 하는 다른 임의의 관련 요소와 비교하여 고려하도록 설계될 수 있다.
시퀀서 서브루틴(320)은 어떤 프로세서 챔버와 프로세서 세트의 조합이 다음으로 실행될 것인지 결정한 뒤, 특정 프로세스 세트 변수를 챔버 매니저 서브루틴(330a-c)으로 전달함으로써 프로세스 세트의 수행이 시작되게 하는데, 챔버 매니저 서브루틴(330a-c)은 시퀀서 서브루틴(320)에 의해 결정된 프로세스 세트에 의해 챔버(13) 및 가능한 다른 챔버(미도시)에서의 다중 프로세싱 태스크를 제어한다.
기판을 위치시키는 서브루틴(340), 프로세스 가스 제어 서브루틴(350), 압력 제어 서브루틴(360), 플라즈마 제어 서브루틴(370)이 챔버 컴포넌트 서브루틴의 예이다. 챔버(13)에서 어떤 프로세스가 요구되는가에 따라 다른 챔버 제어 서브루틴이 포함될 수 있음은 당업자에게 명백하다. 작업시 챔버 매니저 서브루틴(330a)은 실행되고 있는 특정 프로세스 세트에 따라 프로세스 컴포넌트 서브루틴을 선택적으로 계획하거나 호출한다. 어떤 프로세스 챔버와 프로세스 세트를 실행할지 계획하는데 있어, 챔버 매니저 서브루틴(330a)에 의한 계획은 시퀀서 서브루틴(320)에 의해 사용된 것과 유사한 방식으로 이루어진다. 챔버 매니저 서브루틴(330a)은 다양한 챔버 컴포넌트를 모니터링하는 단계, 실행될 프로세스 세트를 위해 어떤 컴포넌트가 실행될 필요가 있는지 프로세스 변수를 기초로 측정하는 단계, 모니터링 단계와 측정 단계에 응답하여 챔버 컴포넌트 서브루틴의 실행을 유발시키는 단계를 포함하는 것이 전형적이다.
도 1d를 참조하여 특정한 챔버 컴포넌트 서브루틴을 설명한다. 기판을 위치시키는 서브루틴(340)은 기판을 기판지지부재(18)에 장착하기 위해 사용되는 챔버 컴포넌트를 제어하는 프로그램 코드를 포함한다. 다중 챔버 시스템에서, 기판을 위치시키는 서브루틴(340)은, 다른 프로세스가 완결된 뒤, 예를 들어 PECVD 반응기 또는 다른 반응기로부터 챔버(13) 내로의 기판 이송을 제어할 수 있다.
프로세스 가스 제어 서브루틴(350)은 프로세스 가스의 조성 및 유속을 제어하기 위한 프로그램 코드를 가진다. 이 서브루틴(350)은 안전 차단밸브(safety shut-off valve)의 개방/폐쇄 위치를 제어하며, 원하는 가스 유속을 얻기 위해 질량 유동 컨트롤러를 램프 업/다운(ramp up/down)한다. 프로세스 가스 컨트롤 서브루틴(350)을 포함한 모든 챔버 컴포넌트 서브루틴은 챔버 매니저 서브루틴(330a)에 의해 호출된다. 서브루틴(350)은 원하는 가스 유속과 관련된 챔버 매니저 서브루틴(330a)으로부터 프로세스 변수를 받는다.
전형적으로, 프로세스 가스 제어 서브루틴(350)은 가스 공급 라인을 개방함으로써 작동되며, 반복적으로 (ⅰ)필요한 질량 유동 컨트롤러를 판독하고 (ⅱ)판독한 내용을 챔버 매니저 서브루틴(330a)으로부터 받은 유속과 비교하고 (ⅲ)필요에 따라 가스 공급 라인의 유속을 조절한다. 더욱이 프로세스 가스 컨트롤 서브루틴(350)은 불안정한 가스 유속에 대해 유속을 모니터링하는 단계와, 불안정한 조건이 검출될 때 안전 차단밸브를 작동시키는 단계를 포함할 수 있다.
어떤 프로세스의 경우 아르곤과 같은 불활성 가스가 챔버(13) 내로 들어가, 반응 가스가 챔버 내로 도입되기 전에 챔버 내 압력을 안정시킨다. 이러한 프로세스를 위해, 챔버 내의 압력을 안정화시키는데 필요한 시간 동안 불활성가스를 챔버(13) 내로 유동시키는 단계를 포함하도록 프로세스 가스 제어 서브루틴(350)이 프로그램된다. 그리고 나서 상술한 단계가 수행될 수 있다.
더욱이 프로세스 가스 제어 서브루틴(350)은, 주어진 프로세스 가스 유속에 대하여 필요한 값을 포함하는 저장된 표에 억세스함(accessing)으로써, 원하는 프로세스 가스 유속을 위해 필요한 공급 가스 유속을 얻는 단계를 포함한다. 필요한 값이 얻어지면 공급 가스 유속은, 모니터링되고 상기 필요한 값과 비교되어 조절된다.
프로세스 가스 제어 서브루틴(350)은 독립적 헬륨제어(independent helium control; IHC) 서브루틴(미도시)에 의하여, 헬륨(He)과 같은 열전달 가스의 웨이퍼척 내부 및 외부 경로를 통한 흐름을 제어할 수 있다. 가스 유동에 의해 기판이 척에 열적으로 결합된다. 전형적인 프로세스에서 웨이퍼는 층을 형성하는 화학반응과 플라즈마에 의해 가열되며, 헬륨(He)은 수냉될 수 있는 척을 통하여 기판을 냉각한다. 이에 따라 기판은 기판에 이미 존재하던 구조를 손상시키는 온도보다 낮은 온도로 유지된다.
압력 제어 서브루틴(360)은 챔버의 배기부(exhaust portion)에서 스로틀 밸브가 개방되는 크기를 조절함으로써 챔버(13)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 스로틀 밸브로 챔버를 제어하는 적어도 2가지의 기본적인 방법이 있다. 첫 번째 방법은 전체 가스 유동, 프로세스 챔버의 크기, 펌핑 용량 등과 다른 무엇보다도 크게 관련되어 있는 챔버 압력을 특징짓는 것에 의존된다. 이 첫 번째 방법은 스로틀 밸브(26)를 고정된 위치로 세팅한다. 스로틀 밸브(26)를 고정된 위치로 세팅함으로써, 정상상태 압력(steady-state pressure)으로 될 수 있다.
이와 달리 제어 포인트가 가스 유동 및 배기 용량에 의해 설정된 경계 내에 있다는 가정 하에, 예를 들어 기압계에 의해 챔버 압력이 측정되고, 압력 조절 서브루틴(360)에 의해 스로틀 밸브(26) 위치가 조절될 수도 있다. 두 번째 방법에 결합되는 측정, 비교, 및 계산이 호출되지 않으므로, 첫 번째 방법에서의 챔버 압력 변화가 빠르게 된다. 챔버 압력을 정밀하게 제어할 필요가 없는 경우에는 첫 번째 방법이 바람직한 반면, 정확하고 반복 가능하며 안정적인 압력이 요구될 때에는 두 번째 방법이 바람직할 수 있다.
압력 제어 서브루틴(360)이 호출될 때, 챔버 매니저 서브루틴(330a)으로부터 원하는 압력 또는 목표 압력 레벨이 변수로서 받아들여진다. 압력 제어 서브루틴(360)은 챔버에 연결된 하나 또는 그 이상의 종래의 기압계를 판독함으로써 챔버(13) 내 압력을 측정하도록 작동하며, 측정값을 목표 압력과 비교하여, 저장된 압력표로부터 목표 압력에 해당되는 비례, 적분, 미분값(proportional, integral, and differential(PID) value(s))을 얻고, 압력표에서 구해진 PID 값에 따라 스로틀 밸브(26)를 조절한다. 이와 달리 압력 제어 서브루틴(360)은 챔버(13)의 압력을 원하는 압력이나 압력 범위로 조절하기 위해 스로틀 밸브(26)를 특정 크기로 열리도록 개방 또는 폐쇄할 수 있다.
플라즈마 제어 서브루틴(370)은, RF 발생기(31A, 31B)의 출력 세팅과 주파수를 제어하고 매칭 네트워크(32A, 32B)를 동조시키기 위한 프로그램 코드를 포함한다. 플라즈마 제어 서브루틴(370)은 전술한 챔버 컴포넌트 서브루틴과 마찬가지로 챔버 매니저 서브루틴(330a)에 의해 호출된다.
증착 프로세스 시퀀스
0.18㎛ 기술 세대에서는 음각법(damascene) 및 종래 유전체 갭필 조립 설계 모두가 사용될 것이다. 종래 프로세스 흐름에의 응용을 위해 종횡비가 3:1인 0.18㎛ 간격의 갭필이 필요할 것이다. 본 발명자들은 HDP-CVD 증착조건에서 종래의 산화물에 필적하는 성능을 나타내는 갭필 메커니즘을 발견하였다. 본 발명은 상술한 프로세싱 시스템에 대해 실행한 바람직한 프로세스 시퀀스에 대해 설명될 것이다.
한 실시예에서 본 발명자들은 α-FC 필름의 증착시 기판에 용량적으로(capacitively) 결합된 기판지지부재에 100W보다 큰 바이어스 전력을 인가함으로써, 필름 조성이 개선되고 폭이 0.25㎛보다 좁은 양호한 갭필능력이 이루어짐을 발견하였다. 프로세싱 중 기판의 온도는 450℃ 이하에서 유지되는 것이 바람직하다. 본 발명 이전에는, 바이어스 전력을 100W 이상으로 증가시킴에 따라, 필름 내에 트래핑될 수 있는 자유 F-로 되는 불소 선구체(precursor)의 프레그멘테이션(fragmentation)이 더욱 많아지는 것으로 믿어졌다. 잉여 F-가 필름에 도입됨에 따라 α-FC 필름의 탄소-탄소 가교결합이 감소되어 필름의 열적 안정성이 낮아진다. 게다가 자유 F-는 전류 누출 및 전하의 필름 내 트래핑을 유발하는 해로운 효과가 있다. 게다가 반응재료의 혼합물에 자유 F-가 많을수록 증착된 필름의 에칭속도(etch rate)가 증가되어 증착률을 낮춘다.
본 발명은 메탄이나 아세톤과 같은 탄소원을 챔버 내로 첨가하여 프레그멘티드 불소와 반응 결합되게 함으로써 이러한 문제를 해결한다. 일반적으로 탄소원은 원하지 않는 자유 불소 원자를 제거하기 위한 수소원으로서도 제공된다. 반응 가스에서 가용 탄소를 증가시킴으로써, 프레그멘티드 불소를 불소 제거제로서 작용하는 수소와 결합되게 한다. CxFy프레그먼트(x=1∼4; y=3∼8) 중 일부는 휘발성이며 챔버로부터 펌핑되어 나갈 수 있다. 그 결과 얻어지는 필름에는 도입되는 자유 불소 원자가 적고, 개선된 체인 브랜칭(chain branching)을 나타낸다. 체인 브랜칭의 증가는 유전상수가 낮고 열적으로 더욱 안정된 필름으로 귀결된다.
탄소원이 챔버 내로 흘러들어 선구체 가스 내의 원자비 F:C를 2 이하로 낮추는 것이 바람직하다. 유동속도와 전력레벨은 챔버 내 F:C비가 2보다 낮도록 조절된다.
200mm 기판 위에서 실시되는 한 실시예에서, 선구체 가스로서 옥타플루오르시클로부탄(C4F8)과 메탄(CH4)이 바람직한데, 이들은 원자비를 2보다 작게 유지할 수 있는 속도, 바람직하게는 약 20sccm 내지 200sccm 사이의 범위에서 챔버 내로 유입된다. 아르곤 또는 다른 불활성 가스가 약 20sccm 내지 100sccm의 속도로 챔버 내로 유입되어, 기판 위에 증착이 수행되는 상태에서, 성장하는 표면을 스퍼터링한다. 수용 가능한 증착속도로 필름 내에 바람직한(preferred) C-F 결합을 이루기 위해, 100W보다 큰 바이어스 전력 바람직하게는 약 1000W가 기판 지지부재에 인가된다. 약 1000W의 바이어스 전력이 0.25㎛ 폭에서 갭필능력을 제공하였다. 챔버 내에서 가용인 F:C의 원자비가 2보다 작게 유지될 수 있는 한 CH4, C2H4, C2H6, C2H2, C6H6, CF4, C2F6, C3F8, CHF3, C6F6과 같은 다른 탄소가스원과 CF4, C2F6, C3F8, CHF3, C6F6과 같은 다른 불소가스원이 사용될 수 있다. 추가로 이 분야에서 알려진 다른 불활성 봄바딩(bombarding) 가스가 사용될 수 있다.
실시예 1
캘리포니아 산타클라라 소재의 Applied Materials, Inc.에서 입수할 수 있는 Ultima™ HDP-CVD 반응기로 실험을 하였다. 소스 및 바이어스 발생기의 RF 주파수는 2.0 및 13.56MHz이었다. 양 발생기의 최대 출력은 5000W였다. HDP-CVD 플라즈마 조건하에서, 옥타플루오르시클로부탄(C4F8)과 메탄(CH4)의 반응에 의해 α-FC 필름이 증착되었다. 증착시의 챔버 압력은 10mTorr보다 낮았다. 갭필 증착을 위해 옥타플루오르시클로부탄(C4F8)과 메탄(CH4)은 모두 약 50sccm의 속도로 챔버 내로 유입되었다. 아르곤도 약 50sccm의 속도로 챔버 내로 도입되었다. 약 1000W의 소스 전력 및 1000W의 바이어스 전력이 소스코일과 기판 지지부재에 각각 인가되었다. 7000Å의 α-FC 필름이 기판 위에 증착되었다.
실시예 2(비교예)
비교예에서는 기판 지지부재로 공급되는 바이어스 RF 전력이 100W인 점 외에는 모든 변수가 같다.
도 2a 및 도 2b는 상술한 각 예의 결과를 비교하기 위한 주사 전사 현미경(SEM) 사진이다. 두 프로세스 사이의 유일한 차이는, 기판에 인가된 바이어스 전력의 레벨 및 충진되는 구조의 크기이다. 도 2a는 0.25㎛ 구조를, 도 2b는 0.6㎛ 구조를 나타낸다. 1000W의 높은 바이어스 전력을 사용하는 프로세스에서는 0.25㎛ 구조의 완전한 갭필이 나타난다. 양 프로세스는 모두 1000W의 소스 RF 전력을 사용하였다. 현미경 사진에 나타난 바와 같이, 낮은 바이어스 전력이 프로세스에 사용될 때, 금속라인 사이의 갭에 보이드(void)가 존재한다. 바이어스 전력이 약 1000W까지 증가될 때, 갭필능력이 개선되어 더 작은 구조가 보이드의 형성 없이 충진된다.
도 3은 열수축과 바이어스 전력 사이의 관계를 나타내는 그래프이다. 바이어스 전력이 약 100W에서 약 1000W로 증가될 때, 결과물 필름의 열수축은 약 8% 정도로부터 1%보다 작은 값으로 감소된다. α-FC 필름의 열적 안정성은 진공상태 400℃에서 증착된 상태대로의 필름의 어닐링 전후 두께 변화에 의해 모니터된다.
도 4는 C4F8:CH4비와 양 필름의 수축 및 증착률 사이의 관계를 나타내는 그래프이다. 필름 수축은 필름 조성과 증착 온도에 상당히 의존하고 있음이 발견되었다. 1000W에서 C4F8:CH4= 1(F:C ≤ 2)일 때 필름 수축이 최소이다. F함량을 더욱 증가시키면 α-FC 필름의 열적 안정성은 감소된다. F함량이 높은 필름이 가교결합이 덜 되어 있고 따라서 고온에서 변형이 쉬울 것으로 추측된다. CHx그룹은 고온에서 분해되기 쉽기 때문에, 필름 내의 낮은 수소 및 산소 함량도 열적 안정성에 있어 중요한 요소이다. TDS 스펙트럼은 CH 및 CFx가 어닐링시의 주요 탈착종(desorption species)임을 확실히 나타낸다.
도 5는 어닐링 시간과 유전상수 사이의 관계를 나타내는 그래프이다. α-FC 필름의 유전상수는 1MHz에서 수은 프로브에 의한 용량 측정(capacitance measurement)으로부터 계산되었다. 400℃, N2분위기에서 어닐링한 뒤의 유전상수값은 시간에 따라 변하지 않았는데, 이는 필름이 열적으로 안정적임을 나타낸다.
불소 및 다른 오염물질의 가스화를 제어하기 위한 챔버 시즈닝(seasoning) 단계
증착 챔버의 내부 표면으로부터 불소의 가스화는, 질화규소 코팅, 질산화규소 코팅, FSG 코팅 또는 이들의 결합에 의해 챔버 벽을 시즈닝(seasoning)함으로써 방지할 수 있다. 챔버 벽 코팅의 두께는 내면으로부터의 불소 가스화를 막기에 충분하며, 적어도 100Å인 것이 바람직하다. 챔버 시즈닝 프로세스는 증착 챔버 내에 기판을 위치시키기 전에 CVD에 의해 실행되는 것이 전형적이다. 질화규소 또는 질산화규소를 챔버의 내부 표면에 증착하기 위해 프로세스 가스 레시피가 사용된다. 시즈닝 필름을 증착시키기 위한 한 프로세스에서는, 약 1500-4500W 사이 범위의 소스 전력으로 질소를 실란(silane)과 반응시킨다. 질소는 약 100 내지 300 sccm, 실란은 약 50 내지 120sccm의 속도로 공급되는 것이 바람직하다. 챔버 온도는 60∼70℃ 범위이거나 이보다 높은 온도일 수 있다. 다른 적절한 증착 프로세스는 그 상세한 설명이 본 명세서에 참조되는 미국특허 5,589,233에 개시되어 있는데, 여기서 질화규소 및 질산화규소는 챔버의 내부 표면에 유지되어 있는 도판트(dopants)에 의한 오염을 막기 위해, 증착 챔버의 노출된 내부 표면 및 기판에 증착된다. 챔버 벽이 시즈닝된 뒤, 패턴화된 금속 표면(patterned metal surface)을 가지는 기판은, 챔버 내에 위치되고, 불소 함유층을 증착하기 위한 상술한 프로세스 또는 불소 함유 필름을 형성하기 위한 다른 임의의 공지 프로세스에 따라 처리된다.
불소 함유 유전층의 금속 표면에의 접착 개선
본 발명의 또 다른 특징에서는 패턴화된 금속라인과 같이 노출된 금속 표면을 가지는 기판에의 불소 함유 유전체 접착을 개선시키기 위해, 금속층에 질소를 스터핑(stuffing)하기 위한 방법이 제공된다. 본 발명의 한 특징에서, 증착된 티타늄 또는 질화티타늄에 질소를 스터핑하여 TiN/N2표면을 형성하기 위한 방법이 제공된다. 플라즈마의 질소이온은, 금속 위에의 유전체 필름 접착을 개선시키기 위해, 증착된 금속층을 가격하여 증착된 금속 필름의 구조를 변화시킨다.
특정 실시예에서 불소 함유 유전체는 각각 메탄(CH4)과 옥타플루오르시클로부탄(C4F8)과 같은 탄소원과 불소원을 포함하는 증착 프로세스 가스로부터 형성된 비정질 탄화불소 물질이다. 다른 탄소원도 사용될 수 있으나 메탄이나 아세틸렌이 바람직하다. 다른 불소원에는 헥사플루오르벤젠(C6F6)과 헥사플루오르프로판(C3F6)이 포함된다. 폴리머 필름의 불화(fluorination)를 제어하기 위해 추가의 불소 이온이 생성될 수 있다. 비정질 탄화불소는 화학적으로 불활성인 비정질 유전체이다.
질화티타늄에의 N2스터핑은 우선 두께가 500Å보다 얇은 질화티타늄 필름 또는 다른 금속 필름을 증착함으로써 이루어진다. 질화티타늄은 질소 스퍼터링 가스 내에서 티타늄 타겟을 약 5∼30초 스퍼터링함으로써 증착되는 것이 바람직하다. 이때 챔버 내로의 N2유동이 계속되고 플라즈마가 챔버 내에서 발생되어, 증착된 TiN과 N2플라즈마 사이의 반응이 촉진된다. 스터핑에는 플라즈마가 바람직한 방법이지만, N2가 TiN에 공급되고 열반응(thermal reaction)과 같은 다른 반응이 TiN과 N2사이의 반응을 촉진할 수 있다. 플라즈마가 사용되는 경우, 약 0.5 mTorr 내지 5 Torr 사이의 압력으로 챔버가 유지되면서, N2가 약 5sccm 내지 500sccm 사이의 속도로 챔버에 공급되는 것이 바람직하다. N2를 여기 뉴트럴(excited neutrals) 등으로 여기시키기 위해, 전력이 용량적 또는 유도적으로 챔버에 결합되며, 이들 여기 뉴트럴 등은 기판 위에서 TiN과 반응한다. 기판의 온도는 대략 상온으로부터 약 500℃ 정도의 범위에서 유지되는 것이 바람직하다.
도 6a는 메탈라이제이션 및 플라즈마 에칭과 같은 종래 방법에 의해 형성되는 패턴화된 금속라인(414)과, SiO2와 같은 유전체층(412)을 가지는 처리된 기판(400)의 부분단면도를 나타낸다. 처리된 기판에서 라인 또는 비아스(vias)를 만들기 위해 사용되는 전형적인 금속은 알루미늄 또는 구리이다. 패턴화된 금속라인은 유전상수가 낮은 유전체(low dielectric material)로 충진될 트렌치(416)에 의해 분리된다.
도 6b에서 TiN층(418)은 물리기상증착(Physical Vapor Deposition; PVD)에 의해 도 4a의 기판(400) 위에 증착되고 질소에 노출되어 두께가 5Å보다 두꺼운 TiN/N2층을 형성한다. 금속라인(414)이 불소에 의해 부식되는 것을 방지하기 위하여 TiN/N2층은 연속적인 것이 바람직하다. 바람직한 TiN층은 어디에서나 단일층 내지 200Å까지의 PVD TiN을 포함하며, 이후 두께 5Å 내지 50Å 사이의 연속적인 TiN/N2면을 형성하기 위한 질소 스터핑이 뒤따른다.
도 6c는 도 4b의 기판(400)의 개략적인 부분단면도로서 TiN/N2층(418)에 증착된 비정질 탄화불소층(420)을 나타낸다. 비정질 탄화불소층은 상술한 바와 같은 유전상수값이 낮은 불소 함유 유전체층이다.
상술한 내용은 본 발명의 바람직한 실시예에 대한 것인 반면, 본 발명의 기본적인 범위를 벗어나지 않는 범위에서 다른 실시예가 안출될 수 있으며, 그 범위는 이하의 청구범위에 의해 정해진다.

Claims (9)

  1. 불소 함유 유전체(fluorine containing dielectric material)의 기판 위 금속 표면에의 접착(adhesion)을 개선하는 방법으로서,
    질화규소(silicon nitride) 또는 질산화규소(silicon oxynitride)를 증착 챔버의 내부 표면에, 이 내부 표면으로부터 불소가 가스화되어 나오는 것을 막는데 충분한 양으로 증착하는 단계;
    기판을 증착 챔버에 위치시키는 단계;
    불소가 없는 상태에서 하나 또는 그 이상의 층을 기판에 증착하는 단계; 및
    불소 함유 유전체를 기판에 증착하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 기판이 패턴화된 알루미늄(patterned aluminum)을 포함하는 방법.
  3. 제 1항에 있어서, 상기 불소 함유 유전체는 비정질 탄화불소(amorphous fluorocarbon) 물질인 방법.
  4. 제 1항에 있어서, 상기 TiN 접착층은 불소 함유 유전체를 증착하기 전에 증착되는 방법.
  5. 제 4항에 있어서, 불소 함유 유전체를 증착하기 전에 TiN 접착층을 질소에 노출시킴으로써, TiN 접착층에 TiN/N2면을 형성하는 단계를 더욱 포함하는 방법.
  6. 불소 함유 유전체의 기판 위 금속 표면에의 접착을 개선하는 방법으로서,
    질화규소 또는 질산화규소를 증착 챔버의 내부 표면에, 이 내부 표면으로부터 불소가 가스화되어 나오는 것을 막는데 충분한 양으로 증착하는 단계;
    금속 표면을 가지는 기판을 증착 챔버에 위치시키는 단계;
    금속 표면에 TiN 접착층을 증착하는 단계; 및
    TiN 접착층을 N2에 접촉시킴으로써 TiN/N2면을 형성하는 단계를 포함하는 방법.
  7. 제 6항에 있어서, 상기 금속 표면이 패턴화된 알루미늄인 방법.
  8. 제 6항에 있어서, 상기 TiN/N2면에 불소 함유 유전체를 증착하는 단계를 추가로 포함하는 방법.
  9. 제 8항에 있어서, 상기 불소 함유 유전체가 탄화불소 물질인 방법.
KR1020007003867A 1997-10-10 1998-08-19 금속 표면 상의 불소 함유 유전체 접착을 강화시키는 방법 KR100579753B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8/948,895 1997-10-10
US08/948,895 US6624064B1 (en) 1997-10-10 1997-10-10 Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US08/948,895 1997-10-10
PCT/US1998/017215 WO1999019535A1 (en) 1997-10-10 1998-08-19 Method to improve adhesion of dielectric on metal

Publications (2)

Publication Number Publication Date
KR20010031044A true KR20010031044A (ko) 2001-04-16
KR100579753B1 KR100579753B1 (ko) 2006-05-15

Family

ID=25488359

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007003867A KR100579753B1 (ko) 1997-10-10 1998-08-19 금속 표면 상의 불소 함유 유전체 접착을 강화시키는 방법

Country Status (5)

Country Link
US (1) US6624064B1 (ko)
JP (1) JP4427183B2 (ko)
KR (1) KR100579753B1 (ko)
TW (1) TW567239B (ko)
WO (1) WO1999019535A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100579756B1 (ko) * 1997-10-10 2006-05-15 어플라이드 머티어리얼스, 인코포레이티드 금속에의 유전체 접합을 개선시키기 위한 방법
KR101360876B1 (ko) * 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치

Families Citing this family (386)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6746727B1 (en) * 1998-08-24 2004-06-08 Intel Corporation Metal to ILD adhesion improvement by reactive sputtering
US20040185678A1 (en) * 1999-04-15 2004-09-23 Lee Wei William Integrated circuit dielectric and method
DE19959604A1 (de) * 1999-12-10 2001-06-13 Volkswagen Ag Reaktor für Plasmabeschichtungen und Plasmadiffusionsbehandlungen
DE19959603A1 (de) * 1999-12-10 2001-06-13 Volkswagen Ag Verfahren zum Beschichten der inneren Oberfläche eines Reaktorgefäßes eines Reaktors
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
TW584902B (en) * 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
JP4541864B2 (ja) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 シリコン酸窒化膜の形成方法、形成装置及びプログラム
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6298391B2 (ja) * 2014-10-07 2018-03-20 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6779165B2 (ja) 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4782380A (en) * 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
JPH02135736A (ja) 1988-11-17 1990-05-24 Oki Electric Ind Co Ltd フッ素化シリコン窒化膜の成長方法
JP2708533B2 (ja) 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5221414A (en) 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
US5246884A (en) 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
EP0661731B1 (en) 1993-12-28 2000-05-31 Applied Materials, Inc. A single chamber CVD process for thin film transistors
CA2157257C (en) 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
KR0164149B1 (ko) * 1995-03-28 1999-02-01 김주용 타이타늄 카보 나이트라이드층의 개질 방법
JP3666106B2 (ja) * 1996-02-29 2005-06-29 ソニー株式会社 半導体装置の製造方法
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100579756B1 (ko) * 1997-10-10 2006-05-15 어플라이드 머티어리얼스, 인코포레이티드 금속에의 유전체 접합을 개선시키기 위한 방법
KR101360876B1 (ko) * 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치

Also Published As

Publication number Publication date
WO1999019535A1 (en) 1999-04-22
JP4427183B2 (ja) 2010-03-03
KR100579753B1 (ko) 2006-05-15
JP2001520455A (ja) 2001-10-30
US6624064B1 (en) 2003-09-23
TW567239B (en) 2003-12-21

Similar Documents

Publication Publication Date Title
KR100579753B1 (ko) 금속 표면 상의 불소 함유 유전체 접착을 강화시키는 방법
KR100579756B1 (ko) 금속에의 유전체 접합을 개선시키기 위한 방법
US6211065B1 (en) Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6713390B2 (en) Barrier layer deposition using HDP-CVD
US6035803A (en) Method and apparatus for controlling the deposition of a fluorinated carbon film
US7144606B2 (en) Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6413871B2 (en) Nitrogen treatment of polished halogen-doped silicon glass
US7001850B2 (en) Method of depositing dielectric films
KR100743775B1 (ko) 확산을 감소시키도록 낮은 유전상수 k의 유전층을 처리하기 위한 방법 및 장치
US6846742B2 (en) Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
EP1081249A1 (en) Method for depositing fluorinated silica glass layers
US20030050724A1 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6797646B2 (en) Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 11

EXPY Expiration of term