JP4427183B2 - 金属上への誘電体の接着性改善方法 - Google Patents

金属上への誘電体の接着性改善方法 Download PDF

Info

Publication number
JP4427183B2
JP4427183B2 JP2000516082A JP2000516082A JP4427183B2 JP 4427183 B2 JP4427183 B2 JP 4427183B2 JP 2000516082 A JP2000516082 A JP 2000516082A JP 2000516082 A JP2000516082 A JP 2000516082A JP 4427183 B2 JP4427183 B2 JP 4427183B2
Authority
JP
Japan
Prior art keywords
chamber
substrate
fluorine
gas
tin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000516082A
Other languages
English (en)
Other versions
JP2001520455A (ja
Inventor
ターガット サヒン,
ヤシン ワン,
ミン シ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001520455A publication Critical patent/JP2001520455A/ja
Application granted granted Critical
Publication of JP4427183B2 publication Critical patent/JP4427183B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

【0001】
【発明の分野】
本発明は、一般に高密度プラズマ化学的気相堆積(HDP−CVD)手法を使用する、アモルファス・フルオロカーボン膜の堆積に関する。より詳細には、本発明は、ギャップ充填性能を強化するよう高バイアスパワーを使用してアモルファス・フルオロカーボン膜を堆積する方法に関する。なお更に、本発明は、基板上に形成された金属面へのフッ素含有誘電体材料の接着性を改善することに関する。
【0002】
【発明の背景】
この10年間に、集積回路の設計と製造における、一貫していて、明確に予見可能な改善が認められてきた。しかし、動作周波数が1GHzに近づき、相互配線フィーチャサイズを≦0.25μmまで低下させた、新規の超大規模集積(ULSI)製品については、相互配線の抵抗―静電容量(RC)遅延が、集積回路のクロック時間の大部分に相当するであろうし、著しく新しい異なる製造アプローチが、必要とされる性能目標を達成するために要求されるであろう。RC遅延は、相互配線の抵抗と誘電体容量に直接関係するので、業界の焦点は、著しく低い誘電率と低抵抗率の新材料を開発することに向けられている。
【0003】
誘電体の領域では、極めて多様な材料が、現在の標準的なニ酸化ケイ素(SiO2)の僣在的な代替として研究されている。〜4の誘電率(K)を持つSiO2が、〜2.5のK値を持つ別の材料に置換えられる場合、RC遅延とクロストークは著しく低減され、全体の回路性能が大きく改善されるであろう。0.18μmデバイス世代で期待される性能要件を満足するためには、<3.0の誘電率が必要であろうことは広く容認されている。
【0004】
低誘電率の極めて多様な材料が、SiO2に代わる僣在候補として研究されている。しかし、誘電率は、満足されねばならない重要要件の1つに過ぎないことを思い起こすことが大事である。既存の、そして将来の製造プロセスフローへの統合の容易さと経済性ファクタ(例えば、所有コスト)は共に、次世代の金属間誘電体(IMD)として使用する材料の永続性を決めることになろう。統合能力は、接着性、熱安定性、熱伝導率、機械的強度、およびギャップ充填性能のような、重要特性により決定されるであろう。所有コストは、原材料のコスト、処理廃棄材料のコスト(これは、スピンオン技術で特に高いことが判明している。)、必要とされる統合ステップの数と共に、処理設備の資本コストにより決められるであろう。理想の低誘電率材料は、既存のプロセスフローへ容易に統合され、既存の設備を使用して、現在使用中のプロセスを超えないコストになろう。
【0005】
CVD堆積材料は、低誘電率材料に対する最も有望なアプローチである。プラズマ支援堆積でのメカニズムが、他のタイプの堆積手法よりも、著しく高い密度と機械的強度を持つ材料へ導いていくであろうことは広く容認されている。加えて、CVD膜の一体化は、スピンオン法のような湿式プロセスに比較して、十分に特性が明らかで、かなり単純である。既存のプラズマ強化CVD設備の使用可能性、および単純な製造方法様式は、一体化と経済性の両観点からCVD材料を魅力的なものにしている。
【0006】
CVD堆積材料の中で、アモルファス・フルオロカーボン(α−FC)は、比較的高い熱安定性、低誘電率値(2.3程の低い値)、SiO2に近い熱伝導率、および良好な機械的強度により、有望である。最近、Matsubara 他は、「クオータミクロンデバイス用の、低kフッ化アモルファスカーボン中間層技術 (Low-k Fluorinated Amorphous Carbon Interlayer Technology for Quarter Micron Devices)」IEDM、369〜372頁(1996年)の中で、3レベルメタライゼーション構造での金属間誘電体としてα−FCの首尾よい一体化とその使用について記載している。この誘電体材料を使用して、線静電容量で50%の低減が報告されている。
【0007】
しかし、幾何学的寸法が縮小するのに伴ない、ギャップ充填性能が重要な問題となっている。ギャップ充填性能は、一般に、金属線間の、トレンチとして知られる領域を充填するプロセスの能力のことを言う。最近、趨勢は、強化されたギャップ充填結果を達成するために、in situスパッタエッチングと堆積を利用するよう高密度プラズマプロセスを統合シーケンスに取り込むことである。HDP−CVDでは、堆積中に基板のフィールドをスパッタするイオンを引付けるためにバイアスパワーが基板へ結合され、それにより、トレンチが堆積材料で完全に充填される前に堆積材料がトレンチ上に集中するクラウンニングとして知られる現象を防止する。基板のフィールド(すなわちトレンチ間領域)上の体積速度を制御することにより、≦0.25μmの小フィーチャでのギャップ充填性能の改善が達成可能である。
【0008】
α−FC膜に関連する問題の1つは、高バイアスパワーの印加が、フッ素の断片化を強める傾向があり、それが、解離した非結合のFまたはCFx(x=1〜4)として、得られた膜中へ取り込まれることである。有機フルオロカーボン分子は、F-のようなエッチング核種を形成するか、またはグロー放電条件下で重合するかのいずれかであることが、文献によく記載されている。エッチングか、または重合反応のいずれが優性になるかは、プラズマエネルギー、荷電核種の強さ、反応体の比率、および表面温度に依存する。EP特許出願第5114253.8は、α−FC膜の堆積における、高いバイアスパワーに関連する問題を検討し、高いバイアスパワーの使用を排除することによって問題を解決することを試みている。
【0009】
遭遇する別の問題は、フッ素含有誘電体材料の堆積中に生成されるフッ素が、チャンバ壁およびチャンバ構成要素に吸収され、後続の堆積ステップ中でガス放出されることである。このフッ素は、基板上の金属面を攻撃して、良好な接着を妨げる。窒化チタンが、誘電体層と金属層間のバリア層としてしばしば使用され、プロセスガスの拡散にある程度は抗する。しかしながら、窒化チタンは、金属面とフッ素含有誘電体材料間の接着性を実質的に改善しない。
【0010】
従って、非常に低い誘電率のα−FC膜の堆積のためにHDP−CVD技術の適用を改善するニーズがある。高密度プラズマ堆積を使用して堆積でき、0.25μm以下のフィーチャで良好なギャップ充填性能を示し、堆積後一回のアニールで安定している、誘電率(k)が2.8以下のα−FC膜を提供することは有利であろう。
【0011】
【発明の要約】
本発明は、熱安定性と低誘電率の両方を有するアモルファス・フルオロカーボン膜を形成する方法を提供する。この方法は、基板をプロセスチャンバへ導入して、バイアス電源へ接続された支持部材上へ基板を位置決めするステップ、プロセスチャンバへカーボンソースガスとフッ素ソースガスを導入するステップ、チャンバ内のプラズマを衝突させるのに十分なソースパワーをチャンバへ供給するステップ、および基板上へin situスパッタ堆積を達成するのに十分なパワーレベルで、支持部材へバイアスパワーを印加するステップを含む。カーボンガスソースとフッ素ガスソースは、好ましくはF:Cの原子比を2未満に維持するのに十分な量で導入される。
【0012】
本発明の別の局面は、チャンバ面からのフッ素またはフッ素化合物のガス放出を防止するよう、基板処理に先立って堆積チャンバの内面上へ、窒化ケイ素またはオキシ窒化ケイ素シーズニング被覆を提供する。ひとつの実施の形態では、窒化ケイ素またはオキシ窒化ケイ素は、内面からのフッ素のガス放出を阻止するのに十分な量で堆積チャンバの内面上へ堆積される。ガス放出されたフッ素量は、得られたフッ素含有膜中への遊離フッ素の望ましくない取り込みを防止するよう制御されることができる。本発明のこの局面は、窒素処理されたTiまたはTiNのような、金属面上に形成された接着層の使用と組合わせることができ、金属面上へのフッ素の攻撃を更に防止する。
【0013】
本発明は、更に、基板上に形成された金属面へのフッ素含有誘電体材料の接着性を強化する方法を提供し、この方法は、金属面を備える基板上へチタン(Ti)または窒化チタン(TiN)のような接着性金属層を堆積するステップ、接着性金属層を窒素にさらすことにより接着性金属層上に金属/N2面を形成するステップ、および、接着金属層の金属/N2面上へフッ素含有誘電体材料を堆積するステップを含む。堆積された金属層は、好ましくは容量的にまたは誘導的に結合するエネルギーにより供給される窒素プラズマにさらされる。
【0014】
【実施の形態の詳細な説明】
本発明は、高密度プラズマ化学的気相堆積技術を使用してアモルファス・フルオロカーボン(α−FC)膜を基板またはその他のワークピース上へ堆積する改善された方法を提供する。一般的に、膜品質とギャップ充填性能は、ガス濃度を制御し、同時に基板へ高いバイアスパワー(〜100Wまたはそれ以上)を印加することにより強化できることが分かっている。詳細には、フッ素ソースとカーボンソースの相対濃度を制御し、同時に基板へスパッタバイアスパワーを印加することにより、熱安定性と低誘電率が、良好なギャップ充填性能と金属への改善された接着性とを有するα−FC膜で達成できる。ひとつの実施の形態では、アモルファス・フルオロカーボン膜が、HDP−CVDリアクタを使用して、メタン(CH4)およびオクタフルオロシクロブタン(C48)から堆積される。得られた膜は熱的に安定であり、450℃以下で誘電率(K)値<2.8を有する。この膜は、既知のα−FC膜と比較して、分子量が増加され、架橋が増加され、応力が改善されていると信じられる。
【0015】
本発明は、また、リアクタ壁および他の構成要素からのフッ素および他の汚染物質のガス放出の可能性を低減するよう、リアクタの内面上にシーズニング膜を堆積する方法も提供し、結果として得られるフッ素含有膜の接着性と安定性を更に改善する。ひとつの実施の形態では、窒化ケイ素またはオキシ窒化ケイ素膜がチャンバ内面上に約100Åの厚さで堆積される。
【0016】
本発明は、また、基板上へ接着層を堆積するための方法も提供し、基板上へTiまたはTiNのような接着金属層を堆積するステップ、および接着金属層を窒素にさらすステップを含む。基板は、好ましくは窒素プラズマが生成されるプロセス領域に隣接して位置決めされ、堆積された金属層の構造を改変するよう堆積された接着金属層を窒素(「で詰込む」)にさらす。この改変された面は、そのような処理を伴なわないTi/TiN層上のフッ素含有誘電体の接着性を改善すると信じられる。
【0017】
本発明の方法は、好ましくは、カリフォルニア州サンタクララ所在の、Applied Materials, Inc. から入手可能な Ultima HDP-CVDTM Centura SystemR 上で実施される。このシステムの特徴を、一般的に以下に説明する。以下に説明するHDP−CVDシステムは、発明者にとり最良のシステムとして知られるが、本発明の方法を実施するために、有利に他のシステムも使用でき、または使用されるように変更できることは言うまでもない。
【0018】
図1Aは、本発明による誘電体層が堆積できるHDP−CVDシステムのひとつの実施の形態の10を図解する。システム10は、チャンバ13、真空システム70、ソースプラズマシステム80A、バイアスプラズマシステム80B、ガス供給システム33、およびリモート式プラズマ洗浄装置50を含む。
【0019】
チャンバ13の上部は、アルミナまたは窒化アルミニウムのような誘電体材料で造られたドーム14を含む。ドーム14は、プラズマプロセス域16の上部境界を画成する。プラズマプロセス域16は、基板の上面17および基板支持部材18が底部との境界になっている。
【0020】
加熱プレート23および冷却プレート24がドーム14の上に置かれ、ドームへ熱的に結合されている。加熱プレート23と冷却プレート24は、約100℃〜200℃の範囲にわたり約±10℃にドーム温度制御を可能とする。
【0021】
チャンバ13の下部は、絞り弁26を有する真空システム70へチャンバを連結するボディ部材22を含む。基板支持部材18のベース部21は、ボディ部材22の上に取付けられ、それとともに連続した内面を形成する。基板は、上部ローディング位置57でチャンバ13へ移送出入され、基板が、基板支持部材18の基板受入部19上に配置される下部処理位置56へ搬送される。基板受入部19は、基板処理中に、基板を基板支持部材18へ固定する静電チャック20を含む。
【0022】
ソースプラズマシステム80Aは、ドーム14上に取付けられたトップコイル29およびサイドコイル30を含む。対称接地シールド(図示せず)がコイル間の電気的結合を低下させる。トップコイル29は、トップソースRF(SRF)発生器31Aによりパワー供給される一方、サイドコイル30は側面SRF発生器31Bによりパワー供給され、各コイルに対して独立したパワーレベルと周波数の動作を可能にする。このデュアルコイルシステムは、チャンバ13内で径方向のイオン密度の制御を可能にし、それによってプラズマの均一性を改善する。サイドコイル30およびトップコイル29は普通には誘導的に駆動され、相補電極を必要としない。特定の実施の形態では、トップソースRF発生器31Aは公称2MHzで2,500WまでのRFパワーを供給し、サイドソースRF発生器31Bは公称2MHzで5,000WまでのRFパワーを供給する。トップおよびサイドRF発生器の動作周波数は、公称動作周波数からオフセットでき(例えば、それぞれ1.7〜1.9MHzと1.9〜2.1MHz)、プラズマ発生効率を改善する。
【0023】
バイアスプラズマシステム80Bは、バイアスRF(BRF)発生器31Cおよびバイアス整合ネットワーク32Cを含む。バイアスプラズマシステム80Bは、基板部分17を、相補電極として働くボディ部材22へ容量結合する。バイアスプラズマシステム80Bは、ソースプラズマシステム80Aにより生成されたプラズマ核種(すなわちイオン)の基板面への移送を強化する役をする。特定の実施の形態では、バイアスRF発生器は、13.56MHzで5,000WまでのRFパワーを供給する。
【0024】
整合ネットワーク32Aと32Bは、発生器31Aと31Bの出力インピーダンスをそれぞれのコイル29と30へ整合する。RF制御回路は、負荷が変化するのに従い発生器を負荷に整合するよう、整合ネットワーク内のコンデンサの値を変えることにより両整合ネットワークを同調できる。RF制御回路は、パワーが負荷から発生器へ所定限度を超えて反射して戻される場合、整合ネットワークを同調できる。共整合 (co match) を提供し、RF制御回路が整合ネットワークを同調することを効果的にディスエーブル化する1つの方法は、反射パワー限度を、反射パワーの予期されるいずれの値をも超えて設定することである。これは、整合ネットワーク定数を直近の条件に保持することによって、プラズマをある条件下で安定化させることを助けるであろう。
【0025】
ガス供給システム33は、ガス供給ライン38(その一部だけを示す)を介して、何ヶ所かのソースから、基板を処理するためのチャンバへガスを供給する。ガスは、ガスリング37および上部ノズル45を介して、チャンバ13へ導入される。図1Bは、、チャンバ13の単純化した部分断面図であり、ガスリング37の追加の詳細を示す。
【0026】
ひとつの実施の形態では、第1と第2ガスソース34Aと34D、および第1と第2ガス流量コントローラ35A'と35D'が、ガスリング37でのリングプレナム(空腔)36へガス供給ライン38(その一部だけを示す)を介してガスを供給する。ガスリング37は、複数のソースガスノズル39(その1つだけを図1Bに示す)を有し、基板上へガスの均一なフローを提供する。ノズル長およびノズル角度は、個々のチャンバ内での特定プロセスに対する均一性プロファイルとガス利用効率をあつらえることができるよう変更できる。好ましい実施の形態では、ガスリング37は、12本のソースガスノズルを有する。
【0027】
ガスリング37は、複数の酸化剤ガスノズル40(その1つだけを示す)も有し、好ましい実施の形態では、そのノズルはソースガスノズル39と同一面で、それより短く、ひとつの実施の形態では、ガスをボディプレナム41から受取る。幾つかの実施の形態では、チャンバ13への注入前に、ソースガスと酸化ガスとを混合しないことが望ましい。他の実施の形態では、酸化ガスとソースガスは、ボディプレナム41とガスリングプレナム36間にアパーチャ(図示せず)を設けることにより、チャンバ13へ注入する前にガスを混合できる。ひとつの実施の形態では、第3と第4のガスソース34Bと34C、および第3と第4のガス流量コントローラ35B'と35Cが、ボディプレナムへガス供給ライン38を介してガスを供給する。
【0028】
図1Aを再度参照すると、チャンバ13は、上部ノズル45および上部ベント46も有する。上部ノズル45と上部ベント46は、ガスの上部および側面の流量の個別制御を可能にし、膜の均一性を改善し、膜堆積の微調整を可能にする。上部ベント46は上部ノズル45のまわりの環状開口である。ひとつの実施の形態では、第1ガスソース34Aは、C48またはCH4ソースであり、それをソースガスノズル39と上部ノズル45へ供給する。ソースノズル質量流量コントローラ(MFC)35A'は、ソースガスノズル39へ供給するC48またはCH4の量を制御し、上部ノズルMFC35Aは、トップ・ガスノズル45へ供給するC48またはCH4の量を制御する。同様に、2つのMFC35Bと35B'は、ソース34Bのような単一の酸素ソースから上部ベント46と酸化剤ガスノズル40の両方への酸素の流量を制御するのに使用できる。上部ノズル45および上部ベント46へ供給されるガスは、チャンバ13への流入前には分離されたままでもよいし、チャンバ13への流入前に混合されてもよい。同一ガスの別々のソースを使って、チャンバの様々な部位へ供給してもよい。
【0029】
システムコントローラ60は、システム10の動作を制御する。好ましい実施の形態では、コントローラ60は、ハードディスクドライブ、フロッピーディスクドライブ(図示せず)およびカードラック(図示せず)のようなメモリ62を含む。カードラックは、シングルボードコンピュータ(SBC)(図示せず)、アナログとデジタルの入力/出力ボード(図示せず)、インタフェースボード(図示せず)およびステッパーモータコントローラボード(図示せず)を含んでもよい。システムコントローラは、Versa Modular European (VME)標準に準拠し、それは、ボード、カードケージ、およびコネクタの寸法とタイプを定める。VME標準は、16ビットのデータバス、および24ビットのアドレスバスを有するバス構造も定める。システムコントローラ60は、ハードディスクドライブ上に記憶されたコンピュータプログラム、または、フロッピーディスク上に記憶されたプログラムのような他のコンピュータプログラムの制御の下に動作する。コンピュータプログラムは、特定プロセスのタイミング、ガスの混合、RFパワーレベル、および他のパラメータを指示する。システムコントローラ60は、メモリ62へ結合されたプロセッサ61を含む。好ましくは、メモリ62はハードディスクドライブであろうが、もちろん、メモリ62は、ROM,PROM他等、他の種類のメモリであってよい。
【0030】
システムコントローラ60は、コンピュータプログラムの制御の下で動作する。コンピュータプログラムは、特定プロセスの、タイミング、温度、ガス流量、RFパワーレベル、および他のパラメータを指示する。ユーザーとシステムコントローラとの間のインタフェースは、図1Cに示すようにCRTモニタ65および光ペン66を介する。好ましい実施の形態では、2個のモニタ65と65Aが使用され、1台はオペレータのためにクリーンルームの壁に、他方は、サービスエンジニアのために壁の裏側に取付けられる。両モニタとも、同時に同じ情報を表示するが、1つの光ペン(例えば66)だけがイネーブルである。特定の画面または機能を選択するために、オペレータは表示画面のエリアに触れ、ペンのボタン(図示せず)を押す。触れられたエリアは、例えば、色を変えたり、新規のメニューを表示することにより、光ペンにより選択されていることを確認する。
【0031】
コンピュータプログラムコードは、68000アセンブリ言語、C、C++、または Pascal のような、従来からのコンピュータ可読プログラミング言語のいずれで書いてもよい。適切なプログラムコードは、従来のテキストエディタを使用して、単一ファイルまたは複数ファイルへ入力され、コンピュータの記憶装置のような、コンピュータ利用可能媒体へ記憶、つまり格納される。入力されたコードテキストが、高水準言語である場合、コードはコンパイルされ、次に結果としてのコンパイラコードが、プリコンパイルされたウインドウズライブラリルーチンのオブジェクトコードとリンクされる。リンクされてコンパイルされたオブジェクトコードを実行するために、システムユーザーはオブジェクトコードを発動して、コンピュータシステムがコードをメモリにロードするようにさせ、そこからCPUは、プログラム内で識別されているタスクを行なうよう、コードを読んで実行する。
【0032】
図1Dは,コンピュータプログラム300の階層制御構造の図解ブロック図を示す。ユーザーは、光ペンインタフェースを使用することにより、CRTモニタ上に表示されたメニューまたは画面に応答して、プロセスセレクタ・サブルーチン310へ、プロセスセット番号とプロセスチャンバ番号を入力する。プロセスセットは、特定プロセスを実行するのに必要なプロセスパラメータの所定セットであり、所定セット番号により識別される。プロセスセレクタ・サブルーチン310は、(i)マルチチャンバーシステムで所望のプロセスチャンバ、および(ii)所望のプロセスを実施するためにプロセスチャンバを操作するのに必要なプロセスパラメータの所望セットを識別する。特定プロセスを実行するためのプロセスパラメータは、例えば、プロセスガスの組成および流量、温度、圧力、RFパワーレベル、のようなプラズマ条件、およびチャンバのドーム温度のようなプロセス条件に関連し、レシピ形式でユーザーへ提供される。レシピで規定されたパラメータは、光ペン/CRTモニターインタフェースを利用して入力される。
【0033】
プロセスを監視するための信号は、システムコントローラのアナログ入力ボードおよびデジタル入力ボードにより提供され、プロセスを制御するための信号は、システムコントローラ60のアナログ出力ボードおよびデジタル出力ボード上に出力される。
【0034】
プロセスシーケンサ・サブルーチン320は、プロセスセレクタ・サブルーチン310から識別されたプロセスチャンバおよびプロセスパラメータのセットを受取るための、および、種々のプロセスチャンバの動作を制御するためのプログラムコードを含む。複数のユーザーがプロセスセット番号とプロセスチャンバ番号を入力でき、または1人のユーザーが複数のプロセスセット番号とプロセスチャンバ番号を入力でき、それにより、シーケンサ・サブルーチン320は、選択されたプロセスを所望の順序でスケジューリングするよう動作する。好ましくは、シーケンサ・サブルーチン320は、(i)チャンバが使用中であるか否か判定するために、プロセスチャンバの運転を監視するステップ、(ii)使用中のチャンバで何のプロセスが実行中であるかを判定するステップ、(iii)プロセスチャンバの使用可能性と実行すべきプロセスのタイプとに基づき、所望プロセスを実行するステップ、を実施するプログラムコードを含む。ポーリングのような、プロセスチャンバを監視する従来の方法が使用できる。どのプロセスが実行されるべきかをスケジューリングする場合、シーケンサ・サブルーチン320は、選択されたプロセスに対する所望のプロセス条件と比較して使用される、プロセスチャンバの現行条件、または、要求を入力した各特定のユーザーの「年齢」、または、システムプログラマがスケジューリングの優先度を決定するために含めることを希望する他の関連するいずれかのファクタを考慮に入れるよう設計できる。
【0035】
シーケンサ・サブルーチン320が、どのプロセスチャンバおよびプロセスセットの組合わせが次に実行されようとしているか判定した後、シーケンサ・サブルーチン320は、特定のプロセスセットパラメータをチャンバマネージャー・サブルーチン330A〜Cへ送ることにより、プロセスセットを実行させ、チャンバマネージャーが、シーケンサ・サブルーチン320により決定されたプロセスセットに従い、チャンバ13および多分、他のチャンバ(図示せず)での複数のプロセスタスクを制御する。
【0036】
チャンバ構成要素サブルーチンの実施例は、基板位置決めサブルーチン340、プロセスガス制御サブルーチン350、圧力制御サブルーチン360、およびプラズマ制御サブルーチン370である。この技術で通常に精通する者は分かるように、チャンバ13で行ないたいプロセスに依存して他のチャンバ制御サブルーチンを含めることができる。運転中、チャンバマネージャー・サブルーチン330Aは、実行される特定のプロセスセットに従い、プロセス構成要素サブルーチンを選択的にスケジューリングする、または呼出す。チャンバマネージャー・サブルーチン330Aによるスケジューリングは、どのプロセスチャンバとプロセスセットとを実行するかをスケジューリングすることでシーケンサ・サブルーチン320により使用される方法と類似の方法で行なわれる。典型的には、チャンバマネージャー・サブルーチン330Aは、種々のチャンバ構成要素を監視するステップ、実行されるプロセスセットのためのプロセスパラメータに基づき作動される必要のある構成要素を判定するステップ、および、監視ステップと判定ステップに応答してチャンバ構成要素サブルーチンを実行させるステップを含む。
【0037】
特定のチャンバ構成要素サブルーチンの動作を、以下図1Dを参照して説明する。基板位置決めサブルーチン340は、基板を基板支持部材18上へ載せるのに使用されるチャンバ構成要素を制御するためのプログラムコードを含む。基板位置決めサブルーチン340は、他のプロセス完了後、マルチチャンバーシステムでの、例えばPECVDリアクタまたは他のリアクタからチャンバ13への基板移送も制御することができる。
【0038】
プロセスガス制御サブルーチン350は、プロセスガスの組成と流量を制御するためのプログラムコードを有する。サブルーチン350は、安全遮断弁の開/閉位置を制御し、また、所望のガス流量を得るために質量流量コントローラをランプアップ/ダウンする。プロセスガス制御サブルーチン350を含む、全てのチャンバ構成要素サブルーチンは、チャンバマネージャー・サブルーチン330Aにより発動される。サブルーチン350は、所望のガス流量に関するプロセスパラメータをチャンバマネージャー・サブルーチン330Aから受取る。
【0039】
典型的には、プロセスガス制御サブルーチン350は、ガス供給ラインを開くことにより作動し、(i)必要な質量流量コントローラを読み、(ii)その読みを、チャンバマネージャー・サブルーチン330Aから受取った所望の流量と比較し、そして(iii)必要に応じガス供給ラインの流量を調節する、ことを繰返す。更に、プロセスガス制御サブルーチン350は、ガス流量を危険なレートに関して監視するステップ、および危険な状態が検出された場合に安全遮断弁を作動させるステップを含むことができる。
【0040】
幾つかのプロセスでは、反応プロセスガスがチャンバへ導入される前に、アルゴンのような不活性ガスがチャンバ13内へ流され、チャンバ内の圧力を安定化させる。このプロセスに対して、プロセスガス制御サブルーチン350は、チャンバ内の圧力を安定化するのに必要な時間量の不活性ガスを、チャンバ13内へ流すステップを含むようプログラムされる。次に上記で説明したステップが実行できる。
【0041】
更に、プロセスガス制御サブルーチン350は、所定のプロセスガス流量の必要な値を含む記憶テーブルにアクセスすることにより所望のプロセスガス流量に対する必要な供給ガス流量を得るステップを含む。必要な値が得られれば、供給ガス流量が監視され、必要な値と比較され、それに応じて調節される。
【0042】
プロセスガス制御サブルーチン350は、独立したヘリウム制御(IHC)サブルーチン(示さず)により、ウェーハチャックの内側と外側の通路を介して、ヘリウム(He)のような熱移送ガスのフローも制御できる。ガスフローは、基板をチャックへ熱的に結合する。普通のプロセスで、ウェーハは、層を形成するプラズマと化学反応とにより加熱され、Heが、水冷されるチャックを介して基板を冷却する。これが、基板上の既存フィーチャを損傷し得る温度未満に基板を維持する。
【0043】
圧力制御サブルーチン360は、チャンバの排気部での絞り弁の開口のサイズを調整することによりチャンバ13内の圧力を制御するためのプログラムコードを含む。絞り弁によりチャンバを制御する基本的方法は少なくとも2つある。第1の方法は、とりわけ、全プロセスガスフロー、プロセスチャンバのサイズ、およびポンプ容量に関連するとしてチャンバ圧力を特性付けることに依存する。第1の方法は、絞り弁26を固定位置に設定する。絞り弁26を固定位置に設定することは、結果として最終的に定常状態圧力が得られる。
【0044】
代替として、チャンバ圧力を、例えば圧力計で測定することができ、絞り弁26の位置は、制御点がガス流量と排気容量により設定される境界内にあると想定して、圧力制御サブルーチン360に従い調節できる。後者の方法に関連する測定、比較および計算が発動されないので、前者の方法がより早くチャンバ圧力を変更する結果となるかもしれない。前者の方法は、チャンバ圧力の精密な制御が必要でない場合に望ましいかもしれない、それに対して、後者の方法は、層の堆積中のように、精確な、繰返しての、そして安定圧力が望まれる場合に望ましいかもしれない。
【0045】
圧力制御サブルーチン360が発動される場合、所望の、または目標の圧力レベルは、パラメータとしてチャンバマネージャー・サブルーチン330Aから受取られる。圧力制御サブルーチン360は、チャンバへ接続された1つ以上の従来の圧力計を読むことによりチャンバ13内の圧力を測定する、測定された値を目標の圧力と比較する記憶圧力テーブルから、目標圧力に対応する比例、積分、微分(PID)値を得る、圧力テーブルから得られたPID値に従い絞り弁26を調節するよう作動させる。代替として、圧力制御サブルーチン360は、絞り弁26を特定の開口サイズへ開いたり閉じたりすることが、チャンバ13内の圧力を所望の圧力または圧力範囲へ調整する。
【0046】
プラズマ制御サブルーチン370は、RF発生器31Aと31Bの周波数とパワー出力の設定を制御するための、そして、整合ネットワーク32Aと32Bを同調するためのプログラムコードを含む。プラズマ制御サブルーチン370は、先に説明したチャンバ構成要素サブルーチンと同様に、チャンバマネージャー・サブルーチン330Aにより発動される。
【0047】
堆積プロセスシーケンス
0.18μmテクノロジ世代では、ダマシンおよび従来の誘電体ギャップ充填製造スキームの両方が使用されるであろうと予期される。従来のプロセスフローでの適用に対して、3:1のアスペクト比で0.18μmスペースのギャップ充填が必要とされるであろう。発明者は、従来の酸化物と同等な性能を実証する、HDP−CVD堆積条件下でのギャップ充填メカニズムを発見した。本発明を以下に、上で説明したプロセスシステムで実行される好ましいプロセスシーケンスを参照して説明する。
【0048】
ひとつの実施の形態では、α―FC膜の堆積中、基板へ容量的に結合されて、基板支持部材への印加される>100Wのバイアスパワーは、小フィーチャ、すなわち巾が0.25μm以下で、膜組成の改善と良好なギャップ充填性能をもたらすことを、発明者は発見した。基板の温度は、処理中、好ましくは450℃未満の温度に維持される。本発明以前は、バイアスパワーを100W以上に増加させることはフッ素前駆体をより断片化される結果となり、それは膜中に捕捉され得る遊離F-ソースになる、と考えられていた。過剰なF-の膜中への取込みはα―FC膜の炭素−炭素架橋を低減させ、膜の熱安定性を低下させる。加えて、F-は、電流漏洩を生じ、電荷が膜内に捕捉されるという有害な影響を有する。加えて、反応体混合中のより多いF-は、堆積膜のエッチング速度を高め、それにより体積速度を低下させる。
【0049】
本発明は、断片化したフッ素と反応しそれを結合するよう、メタンまたはアセチレンのようなカーボンソースをチャンバ内に加えることによりこの問題と戦う。カーボンソースは、普通には水素ソースも供給して不必要な遊離フッ素原子を掃気する。反応ガス中の利用可能なカーボンを増加させることは、断片化したフッ素を結合させ、水素がフッ素の掃除屋の働きをすると考えられる。Cxy(x=1〜4;y=3〜8)の幾つかは揮発性であり、チャンバからポンプ排気できる。結果として、得られた膜は遊離フッ素原子の取込みが少なく、連鎖分枝の改善を実証する。連鎖分枝の増加は、低誘電率を持ち、より熱的に安定な膜を結果としてもたらす。
【0050】
好ましくは、F:Cの原子比を前駆体ガスで2未満に低減させるよう、カーボンソースが、チャンバ内へ流される。流量とパワーレベルの両方は、チャンバ内でF:C比が2未満であることを保証するように調節される。
【0051】
200mm基板上で行なわれる実施の形態の1つでは、好ましい前駆体ガスは、オクタフルオロシクロブタン(C48)およびメタン(CH4)であり、それが、2未満の原子比を維持するよう選定された速度で、好ましくは、約20sccmから約200sccm間の範囲で、チャンバへ流入される。アルゴンまたは他の不活性ガスが、20sccmから100sccmの速度でチャンバへ流入され、堆積が基板上で行なわれると、in situで成長する面をスパッタする。100Wより大きい、好ましくは約1000Wのバイアスパワーが基板支持部材へ印加され、好ましいC−F結合構造を、許容できる体積速度で膜内に達成する。約1000Wのバイアスパワーは、0.25μm巾で所望のギャップ充填性能を提供する。CH4、C24、C26、C22、C66、CF4、C26、C38、CHF3およびC66のような他のカーボンガスソース、そしてCF4、C26、C38、CHF3およびC66のようなフッ素ガスソースが、チャンバで利用可能なF:Cの原子比が2未満に維持される限り、使用できる。加えて、この分野で既知の他の不活性ボンバーディング (bombarding) ガスが使用できる。
【0052】
実施例1
実験を、カリフォルニア州サンタクララ所在の Applied Materials, Inc. から入手可能な UltimaTM HDP−CVDリアクタで行った。ソースおよびバイアス発生器のRF周波数は、2.0および13.56MHzであった。両発生器の最大パワー出力は、5000Wであった。α−FC膜を、HDP−CVDプラズマ状態下でオクタフルオロシクロブタン(C48)およびメタン(CH4)の反応により堆積した。堆積中のチャンバ圧力は10mtorr未満であった。ギャップ充填堆積に対して、オクタフルオロシクロブタン(C48)およびメタン(CH4)両方を、チャンバへ約50sccmの速度で流入させた。アルゴンをチャンバへ約50sccmの速度で流入させた。1000Wのソースパワーと1000Wのバイアスパワーをそれぞれソースコイルと基板支持部材へ印加した。7000Åのα―FC膜を基板上に堆積した。
【0053】
実施例2(比較用)
比較用実施例では、基板支持部材へ供給されるバイアスRFパワーが100Wであることを除き、全てのパラメータは同じであった。
【0054】
図2aと2bは、SEMであり、上記で説明した実施例の結果をそれぞれ比較する。2つのプロセス間の差は、基板へ印加されるバイアスパワーのレベルと充填されるフィーチャのサイズだけである。図2aは0.25μmのフィーチャを示し、図2bは0.6μmのフィーチャを示す。1000Wの高いバイアスパワーを使用したプロセスは、0.25μmフィーチャの完全なギャップ充填を示す。両プロセスとも、共1000WのソースRFパワーを使用した。マイクログラフに示すように、低バイアスパワーをプロセスで使用した場合、金属線間のギャップにボイドが存在する。バイアスパワーを約1000Wまで増加させるに従い、ギャップ充填性能が改善し、それにより、より小さなフィーチャがボイドの形成も無く充填された。
【0055】
図3は、熱収縮率とバイアスパワー間の関係を示すグラフである。バイアスパワーを約100Wから約1000Wに増加させるに従い、結果としての膜の熱収縮率は、約8%から約1%未満へ減少する。α−FC膜の熱安定性を、堆積したままの膜を400℃真空でアニールする前後での厚さ変化により監視した。
【0056】
図4は、C48:CH4比と、膜収縮および堆積速度との間の関係を示すグラフである。膜収縮は膜組成と堆積温度に強く依存することが分かった。CH4:C48=1(F:C≦2)の場合、1000Wで膜収縮は最小である。更なるF含有量の増加は、α−FC膜の熱安定性を低下させる。多分、高F含有量の膜は架橋が少なく、従って高温で容易に変形することになる。CHxグループは高温で容易に解離するので、膜中で低い水素と酸素の含有量が、熱安定性に対するもう1つの主要点である。TDSスペクトルは、CHおよびCFxがアニール中の主たる脱着核種であることを確認する。
【0057】
図5は、アニール時間と誘電率間の関係を示すグラフである。α−FC膜の誘電率を、1MHzで水銀プローブによる静電容量測定から算出した。400℃でN2中でのアニール後の誘電率値は時間とともに変化せずに膜の熱安定性を示す。
【0058】
フッ素および他の汚染物質のガス放出を制御するチャンバのシーズニングステップ
堆積チャンバの内面からのフッ素のガス放出は、窒化ケイ素、オキシ窒化ケイ素、FSG、またはその組合せの被覆でチャンバの壁をシーズニングすることにより、阻止できることが分かった。チャンバ壁上の被覆の厚さは、内面からのフッ素のガス放出を防止するのに十分であり、好ましくは少なくとも約100Åである。チャンバのシーズニングプロセスは、普通には基板を堆積チャンバ内で位置決めする前にCVDにより実施されるであろう。プロセスガス処方は、窒化ケイ素またはオキシ窒化ケイ素をチャンバの内面上に堆積するよう使用される。シーズニング膜を堆積するためのプロセスの1つは、約1500〜4500W間の範囲のソースパワーで窒素をシランと反応させる。窒素は、好ましくは約100〜約300sccmの速度で供給され、シランは、約50〜約120sccmの速度で供給される。チャンバの温度は、60〜70℃の範囲またはそれより高くてよい。他の適切な堆積プロセスは、米国特許第5,589,233号に記載されており、その記載を本明細書に援用し、そこでは、窒化ケイ素またはオキシ窒化ケイ素が、基板および露出した堆積チャンバの内面に堆積され、チャンバの内面に保持されたドーパントにより汚染物質を防止する。チャンバ壁のシーズニング後、パターン化された金属面を有する基板がチャンバ内で位置決めされ、フッ素含有層を堆積するために上で説明したプロセス、またはフッ素含有膜を形成するための他の既知のプロセスに従い処理される。
【0059】
金属面へのフッ素含有誘電体の接着性の改善
本発明の更に別の局面では、パターン化された金属線のような露出した金属面を有する基板へのフッ素含有誘電体膜の接着性を改善するために、金属層の窒素詰込のための方法を提供する。本発明の1つの局面では、堆積されたチタンまたは窒化チタンの窒素詰込のための方法が提供され、TiN/N2面を形成する。プラズマ中の窒素イオンは、堆積された金属層をボンバードし、堆積された金属膜の構造を改変して金属上への誘電体膜の接着性を改善する。
【0060】
1つの特定の実施の形態では、フッ素含有誘電体材料は、メタン(CH4)およびオクタフルオロシクロブタン(C48)のようなそれぞれカーボンとフッ素のソースを含む堆積プロセスガスから形成されたアモルファス・フルオロカーボン材料である。他のカーボンソースも使用できるが、しかし、メタンまたはアセチレンが好ましい。他のフッ素ソースは、ヘキサフルオロベンゼン(C66)およびヘキサフルオロプロパン(C36)を含む。追加のフッ素イオンは、ポリマー膜のフッ素化を制御するよう生成してよい。アモルファス・フルオロカーボンは化学的に不活性なアモルファス誘電体材料である。
【0061】
窒化チタンのN2詰込は、最初に500Å未満の厚さを有する窒化チタン膜、または他の金属膜を堆積することにより行なわれる。窒化チタンは、好ましくは、約5秒と約30秒間の間、窒素(N2)スパッタガス中でチタンターゲットをスパッタすることにより堆積される。次いで、N2のフローはチャンバ内へ継続され、プラズマはチャンバ内で衝突させられて、堆積されたTiNとN2プラズマとの間の反応を促進する。プラズマは詰込の好ましい方法ではある一方、N2をTiN上に提供してもよく、また熱反応のような異なる反応がTiNとN2プラズマ間の反応を促進してもよい。プラズマが使用される場合、N2は、好ましくは、約5sccmから約500sccmとの間の速度でチャンバへ供給され、その一方でチャンバは、約0.5mTorrと約5Torrとの間の圧力に維持される。パワーは、容量的または誘導的にチャンバへ結合され、N2を励起された中性または類似へ励起し、基板上のTiNと反応する。基板の温度は、好ましくは、約室温から約500℃の範囲に維持される。
【0062】
図6Aは、処理された基板400の部分断面図を示し、それは、SiO2のような誘電体層412、および、メタライゼーション金属形成とプラズマエッチングのような従来方法で形成されたパターン化された金属線414を有する。処理された基板でバイアまたは線を作成するのに使用される金属は、普通はアルミニウムまたは銅である。パターン化された金属線はトレンチ416により分離され、トレンチは低誘電率材料で充填されることになる。
【0063】
図6Bを参照すると、TiN層418が、図4Aの基板400上へ物理気相堆積(PVD)により堆積され、次に、5Å超の厚さのTiN/N2面を形成するよう窒素にさらされる。TiN/N2面は、好ましくは、フッ素による攻撃から金属線414を保護するよう連続している。好ましいTiN層418は、単分子層から約200ÅまでのPVDTiNから成り、5Åと50Åとの間の厚さの連続TiN/N2面を形成するよう窒素詰込ステップがそれに続く。
【0064】
図6Cは、TiN/N2層18上に堆積されたアモルファス・フルオロカーボン層420を示す、図4Bの基板400の概略部分断面図である。アモルファス・フルオロカーボン層は、上で説明したように低誘電率を有するフッ素含有誘電体層である。
【0065】
以上は、本発明の好ましい実施の形態に向けられたが、本発明の他のおよび更なる実施の形態は、本発明の基本範囲から逸脱することなく考案することができ、その範囲は、以下につづく特許請求の範囲により決められる。
【図面の簡単な説明】
【図1A】 本発明による高密度プラズマ化学的気相堆積システムの1つの実施の形態を単純化した図である。
【図1B】 図1Aの実例のCVDプロセスチャンバと共に使用できるガスリングの単純化断面である。
【図1C】 図1Aの実例のCVDプロセスチャンバと共に使用できるモニタと光ペンを単純化した図である。
【図1D】 図1Aの実例のCVDプロセスチャンバを制御するために使用されるプロセス制御コンピュータプログラム製品の実例のフローチャートである。
【図2A】 高バイアスパワーで堆積された膜を有する2つのマイクログラフの比較を図解である。
【図2B】 低バイアスパワーで堆積された膜を有する2つのマイクログラフの比較を図解である。
【図3】 バイアスパワーと膜収縮との間の関係を示すグラフである。
【図4】 C48:CH4比と、膜収縮および体積速度の両者との間の関係を示すグラフである。
【図5】 アニーリング時間と誘電率との間の関係を示すグラフである。
【図6A】 この技術で既知の方法により堆積されたアルミニウム線を含む基板の概略部分断面図である。
【図6B】 本発明により基板上に堆積され、窒素にさらされた窒化チタン層を示す、図4の基板の概略部分断面図である。
【図6C】 基板上に堆積されたフッ素含有誘電体材料を示す、図5の基板の概略部分断面図である。

Claims (9)

  1. 内面からのフッ素のガス放出を防止するのに十分な量で、窒化ケイ素またはオキシ窒化ケイ素を堆積チャンバの内面上へ堆積させるステップと;
    窒化ケイ素またはオキシ窒化ケイ素を前記堆積チャンバの内面上へ堆積させるステップの後に、前記堆積チャンバ内で、パターン化された金属面を有する基板を位置決めするステップと;
    記基板上に少なくとも1つの接着層を堆積するステップと;
    前記接着層上へフッ素含有誘電体材料を堆積するステップとを含む、
    基板上の金属面へのフッ素含有誘電体材料の接着性改善方法。
  2. 前記パターン化された金属面が、パターン化されたアルミニウム線を備える、請求項1記載の方法。
  3. 前記フッ素含有誘電体材料は、アモルファス・フルオロカーボン材料である、請求項1記載の方法。
  4. 前記少なくとも1つの接着層がTiN接着層である、請求項1記載の方法。
  5. 前記フッ素含有誘電体材料の堆積に先立ち、前記TiN接着層を窒素にさらすことによって、前記TiN接着層上にTiN/N2面を形成するステップを更に含む、請求項4記載の方法。
  6. 内面からのフッ素のガス放出を防止するのに十分な量で、窒化ケイ素またはオキシ窒化ケイ素を堆積チャンバの内面上へ堆積させるステップと;
    窒化ケイ素またはオキシ窒化ケイ素を前記堆積チャンバの内面上へ堆積させるステップの後に、金属面を有する基板を、前記堆積チャンバ内で位置決めするステップと;
    前記金属面上へTiN接着層を堆積するステップと;
    前記TiN接着層を窒素と接触させることによりTiN/N2面を形成するステップとを含む、
    基板上の金属面へのフッ素含有誘電体材料の接着性改善方法。
  7. 前記金属面が、パターン化されたアルミニウム線である、請求項6記載の方法。
  8. 前記TiN/N2面上へフッ素含有誘電体材料を堆積させるステップを更に含む、請求項6記載の方法。
  9. 前記フッ素含有誘電体材料が、アモルファス・フルオロカーボン材料である、請求項8記載の方法。
JP2000516082A 1997-10-10 1998-08-19 金属上への誘電体の接着性改善方法 Expired - Fee Related JP4427183B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/948,895 US6624064B1 (en) 1997-10-10 1997-10-10 Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US08/948,895 1997-10-10
PCT/US1998/017215 WO1999019535A1 (en) 1997-10-10 1998-08-19 Method to improve adhesion of dielectric on metal

Publications (2)

Publication Number Publication Date
JP2001520455A JP2001520455A (ja) 2001-10-30
JP4427183B2 true JP4427183B2 (ja) 2010-03-03

Family

ID=25488359

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000516082A Expired - Fee Related JP4427183B2 (ja) 1997-10-10 1998-08-19 金属上への誘電体の接着性改善方法

Country Status (5)

Country Link
US (1) US6624064B1 (ja)
JP (1) JP4427183B2 (ja)
KR (1) KR100579753B1 (ja)
TW (1) TW567239B (ja)
WO (1) WO1999019535A1 (ja)

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6746727B1 (en) * 1998-08-24 2004-06-08 Intel Corporation Metal to ILD adhesion improvement by reactive sputtering
US20040185678A1 (en) * 1999-04-15 2004-09-23 Lee Wei William Integrated circuit dielectric and method
DE19959603A1 (de) * 1999-12-10 2001-06-13 Volkswagen Ag Verfahren zum Beschichten der inneren Oberfläche eines Reaktorgefäßes eines Reaktors
DE19959604A1 (de) * 1999-12-10 2001-06-13 Volkswagen Ag Reaktor für Plasmabeschichtungen und Plasmadiffusionsbehandlungen
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
TW584902B (en) * 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
JP4541864B2 (ja) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 シリコン酸窒化膜の形成方法、形成装置及びプログラム
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8298959B2 (en) * 2009-06-03 2012-10-30 Applied Materials, Inc. Method and apparatus for etching
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6298391B2 (ja) * 2014-10-07 2018-03-20 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6779165B2 (ja) 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4782380A (en) * 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
JPH02135736A (ja) 1988-11-17 1990-05-24 Oki Electric Ind Co Ltd フッ素化シリコン窒化膜の成長方法
JP2708533B2 (ja) 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5221414A (en) 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
US5246884A (en) 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
EP0661731B1 (en) 1993-12-28 2000-05-31 Applied Materials, Inc. A single chamber CVD process for thin film transistors
CA2157257C (en) 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
KR0164149B1 (ko) * 1995-03-28 1999-02-01 김주용 타이타늄 카보 나이트라이드층의 개질 방법
JP3666106B2 (ja) * 1996-02-29 2005-06-29 ソニー株式会社 半導体装置の製造方法
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor

Also Published As

Publication number Publication date
KR20010031044A (ko) 2001-04-16
JP2001520455A (ja) 2001-10-30
US6624064B1 (en) 2003-09-23
TW567239B (en) 2003-12-21
KR100579753B1 (ko) 2006-05-15
WO1999019535A1 (en) 1999-04-22

Similar Documents

Publication Publication Date Title
JP4427183B2 (ja) 金属上への誘電体の接着性改善方法
US6211065B1 (en) Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6399489B1 (en) Barrier layer deposition using HDP-CVD
KR100857649B1 (ko) 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법
US7001850B2 (en) Method of depositing dielectric films
US6806207B2 (en) Method of depositing low K films
US6858153B2 (en) Integrated low K dielectrics and etch stops
US6035803A (en) Method and apparatus for controlling the deposition of a fluorinated carbon film
US7205249B2 (en) CVD plasma assisted low dielectric constant films
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
US6228781B1 (en) Sequential in-situ heating and deposition of halogen-doped silicon oxide
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050801

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080729

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081027

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20081027

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091214

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131218

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees