KR20010020588A - 웨이퍼 저장용 조립체 - Google Patents

웨이퍼 저장용 조립체 Download PDF

Info

Publication number
KR20010020588A
KR20010020588A KR1019997012556A KR19997012556A KR20010020588A KR 20010020588 A KR20010020588 A KR 20010020588A KR 1019997012556 A KR1019997012556 A KR 1019997012556A KR 19997012556 A KR19997012556 A KR 19997012556A KR 20010020588 A KR20010020588 A KR 20010020588A
Authority
KR
South Korea
Prior art keywords
storage assembly
cassette
head
magazine
reference means
Prior art date
Application number
KR1019997012556A
Other languages
English (en)
Other versions
KR100536768B1 (ko
Inventor
징거얀
스니즈더스거트-얀
쿠이즈만코넬리스마리누스
Original Assignee
에이에스엠 인터내쇼날 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내쇼날 엔.브이. filed Critical 에이에스엠 인터내쇼날 엔.브이.
Publication of KR20010020588A publication Critical patent/KR20010020588A/ko
Application granted granted Critical
Publication of KR100536768B1 publication Critical patent/KR100536768B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 웨이퍼가 채워지는 카세트를 다수의 매거진을 갖는 원형 회전체에 배치하기 위한 로봇을 갖는 저장 조립체에 관한 것이다. 이들 매거진은 수직과 수평으로 차례로 놓여 있다. 카세트를 파지하는 로봇 헤드의 매거진에 대한 정확한 위치를 결정하기 위해, 매거진에 대한 헤드의 수평 위치를 결정하기 위한 센서 수단과 매거진의 베이스 플레이트에 대한 헤드의 수직 위치를 결정하기 위한 센서 수단이 제공된다. 이 수평 위치는 매거진의 베이스 플레이트에 배열된 구멍을 이용하여 결정된다. 특히, 주요 구멍과 보조 구멍이 존재한다.

Description

웨이퍼 저장용 조립체{STORAGE ASSEMBLY FOR WAFERS}
이러한 종류의 저장용 조립체는 종래 기술로부터 널리 알려진 것이다. 지속적으로 점증하는 소형화 및 그 수가 증가하고 있는 매거진(magazine)에 기인하여, 실상 작업자에 의해 (대상물이)채워지는 그러한 매거진을 갖는다는 것은 비현실적이다. 더욱이, 관련 비용은 너무 비싸다. 이러한 이유로, 종래 기술에서는 로봇의 도움으로 그러한 카세트를 이동시키는 것이 제안된 바 있다. 선택적으로, 이들 카세트에는 소정의 처리를 행할 웨이퍼가 채워진다. 일부 기구의 경우, 이러한 종류의 로봇을 특정 가스로 채워진 챔버 내에 배치함으로써 더이상 웨이퍼를 손으로 위치시킬 필요가 없다.
이제까지 자동 위치 설정은 수동으로 로봇의 동작을 지시하고 관련 위치 자료, 즉 원형 회전체(carousel)로서 설계된 매거진에 대한 로봇의 위치를 저장하는 것에 의해 수행되어 왔다. 이 경우, 있을 수 있는 로봇과 매거진의 수동 위치 설정 상태의 파괴가 있으면 이 공정을 반복하는 것이 필요한데, 이는 상대 위치를 재입력하여야 함을 의미한다. 매거진의 위치 결정 수단은 적어도 카세트가 매거진 내에서 정확하게 결정된 위치에 배치되도록 하는 것이었다. 이것은 추가의 공정에 대해 그리고 웨이퍼를 매거진으로부터 정확하게 제거하는 데 있어 중요한 의미를 갖는다. 매거진과 로봇의 위치를 수동으로 설정한 상태가 파괴되는 것은 초기 조립 과정중 임의의 비율로 발생한다. 이러한 종류의 저장 조립체가 서로에 대해 세팅되면, 시도가 행해진 후 상기 구조체는 분리되어 최종의 사용 장소에서 재조립될 것이다. 만일, 작업중에 구동 모터 등에 변위가 발생하면, 부분적으로 기구를 분리하는 것이 필요하게 되며, 그 결과, 수동 위치 설정도 마찬가지로 변경되어 재세팅이 필요하게 된다. 베이스 플레이트가 왜곡되는 것과 사용중 발생하는 플레잉 현상(play) 및 카세트가 채워진 경우, 그 카세트의 중량에 의해 굴곡되는 것에 기인하여 높이 변화가 생길 수 있다.
특히, 매거진의 수가 비교적 많은 경우, 이 종류의 세팅 작업은 많은 시간이 소요되는 한편, 많은 경우 작업자는 공간이 부족하기 때문에 여러 스테이션에 쉽게 접근할 수 없다. 더욱이, 특히 정확한 제어 시스템이 필요하고 로봇의 여러 부품과 원형 회전체의 회전부를 특별히 낮은 공차로 설계할 필요가 있다. 이는 세팅 이후의 에러를 더 이상 수정할 수 없기 때문이다.
본 발명은 청구항 1항의 전제부에 따른 저장체에 관한 것이다.
도 1은 본 발명에 따른 저장 조립체의 사시도.
도 2는 본 발명에 따른 로봇의 측면 상세도이다.
본 발명의 목적은 전술한 단점을 회피하고, 카세트의 위치를 정확하게 설정하는 것과 관련하여 로봇의 복잡한 지시를 더 이상 수행할 필요가 없으며, 다양한 부품의 상호 위치 설정 상태가 파괴된 후 데이타를 손으로 재입력할 필요가 없으며, 더욱이 여러 가동(可動) 부품 간의 미소 공차가 허용될 수 있는 그러한 저장 조립체를 제공하는 것이다.
이 목적은 청구항 제1항의 특징적인 구성을 가지고 있는 전술한 저장 조립체로부터 달성된다. 센서 수단을 이용하고 기준 수단의 상호 작용의 결과, 카세트가 위치 설정될 때마다 로봇은 로봇과 매거진의 상호간의 위치가 얼마나 정확한지를 재점검한다. 그런 다음, 무엇이든 필요한 수정을 행한다. 이것은 완전 자동으로 행해진다. 결국, 본 발명에 따른 저장 조립체는 자체 인식형(self-teaching)이므로, 작업중 발생하는 미소 공차는 작업에 전혀 영향을 미치지 않는다. 더욱이, 원형 회전체의 정지 위치는 결코 임계적인 것이 아니며, 편차는 자동으로 수정된다.
센서 수단은 수직 위치의 결정을 위해 존재한다. 이 수단은 간단한 스캐닝 센서일 수 있다. 관련 제어 시스템은 예컨대, 높이 결정을 위한 관련 센서와 예컨대, 매거진의 관련 구획의 베이스 플레이트 간의 초기 접촉 이후에 기구가 관련 수정 위치에 도달하기 위해 여전히 고정 간격으로 하방으로 이동되도록 하는 방식으로 설계될 수 있다.
수평 방향의 위치를 결정하기 위해, 원형 회전체의 엣지를 결정하는 간단한 접촉 수단 또는 비접촉 수단을 사용하는 것도 가능하다. 그러나, 이것들은 xy-위치를 결정할 수 없다. 결국, 베이스 플레이트상의 고정점의 위치를 아는 것이 필요하다. 따라서, 카세트에 대한 위치 결정 돌출부와 관련한 베이스 플레이트의 고정 위치에 구멍이나 기타 다른 기준 수단을 배열하는 것이 가능하다. 로봇 헤드상의 센서 수단이 이들 기준 수단을 탐지하고 그 기준 수단을 향해 전진되면, 카세트를 내려놓거나 들어올리기 위한 수정 위치가 고정 거리를 이동시키는 것에 의해 자동으로 도달되어진다.
기준 수단에 의한 이러한 탐지능은 보조 기준 수단의 제공을 통해 향상될 수 있다. 이들 수단은 넓은 영역을 커버하며, 헤드의 센서 수단이 이 영역 내에 위치되면, 상기 수단은 보조 기준 수단에 대한 고정 위치를 탐색 가능하고, 또 이 위치를 출발점으로 하여 전술한 주(主) 기준 수단을 찾을 수 있다. 이를 기초로, 해당 카세트를 집어올리고 내려놓기 위한 위치를 다시 찾을 수 있다.
바람직한 실시예에 따르면, 수평 위치를 결정하기 위한 전술한 기준 수단은 구멍을 구비하는 한편, 센서 수단은 감광 센서 수단(light-sensitive means)을 구비한다. 이러한 종류의 감광 센서 수단은 더 이상의 접촉을 요하지 않고 이러한 종류의 구멍을 통해 빛이 입사되는지 여부를 결정할 수 있다. 이 빛은 종래 기술에서 알려진 어떠한 종류의 빛으로도 구성될 수 있다. 빛은 종래의 광학 수단을 사용하여 발생시킬 수 있지만, 레이저 빔을 사용하는 것도 가능하다.
주 기준 수단 및 보조 기준 수단을 사용한다면, 이들 수단이 구멍으로서 설계된 경우, 보조 기준 수단의 구멍은 주 기준 수단의 구멍에 비해 훨씬 클 것이다. 그와 같은 직경은 예를 들면, 각각 5mm와 2mm이다. 원형 회전체에 대한 헤드의 정확도는 모든 경우에 있어서 +2.5mm 또는 -2.5mm이어야 한다. 이러한 가정하에, 센서 수단에서 발하는 관선 빔은 보조 기준 수단의 경계 가장자리를 타격한다. 그 후, 복귀 운동 또는 기타 소정의 운동을 수행하는 것에 의해 보조 기준 수단의 구멍의 다른 가장자리가 타격된다. 이들 왕복 운동을 여러차례 수행하는 것에 의해 해당 보조 기준 수단의 관련 구멍의 중심을 찾는 것이 가능하다. 그런 다음, 그러한 운동은 주 기준 수단, 즉 작은 직경의 구멍이 탐지되어, 제거될 카세트와 그 카세트의 위치 설정을 위한 위치 결정 돌출부에 대한 위치가 확립될 때까지 계속하여 행해질 수 있다.
전술한 로봇은 종래 기술에 공지된 어떤 구조체를 구비할 수 있다. 그러나, 일단에 헤드가 배치되고 타단은 높이를 조절할 수 있는 스위블 아암을 구비하는 것이 바람직하다.
본 발명은 도면에 도시된 예시적인 실시예와 관련하여 이후 상세히 설명될 것이다.
도 1에서, 도면 부호(1)는 매거진을 지시한다. 이 경우, 매거진은 다수의 구획으로 형성된 원형 회전체를 구비한다. 각각의 구획은 베이스 플레이트(4 또는 6)를 구비하며, 이 플레이트 위에 카세트(3)가 배치된다. 카세트의 올바른 위치는 베이스 플레이트상의 위치 결정 돌출부(5)에 의해 확증된다. 이들 돌출부는 이런 속성의 구조체의 경우 일반적이겠지만, 카세트의 하부면의 H-형 돌출부가 정확하게 위치되도록 하는 크기로 형성된다.
본 발명에 따른 로봇은 도면 부호(2)로 지시된다. 이 로봇은 서로에 대해 피봇 가능한 2개의 아암(8,9)을 구비하며, 이 아암의 일단에 플레이트(10)가 배치되며, 상기 플레이트는 회전 운동 이외에 화살표(7) 방향으로 상승/하강 운동도 행할 수 있다. 또한, 아암(9)은 헤드(18)가 부착되는 베이스 플레이트를 구비한다. 이런 종류의 로봇은 종래 기술에서 널리 공지되어 있으므로, 본 명세서에서는 여러 종류의 아암을 서로에 대해 이동시킬 수 있게 하는 제어 유닛 또는 제어 수단의 상세에 대해서 언급하지 않겠다. 카세트를 파지할 수 있도록 하기 위해 헤드 위에는 클램핑 바아(12)를 구비하는 클램핑 기구를 설치한다. 카세트는 이 방식으로 파지된 후 이동된다. 카세트에는 웨이퍼(23)가 채워질 수 있는데, 그 방식은 그다지 구체적으로 도시되어 있지는 않다. 도 2로부터 알 수 있는 바와 같이, 헤드(18)는 스프링 작동식 높이 스위치(17)와 그에 인접하게 배치된(수평 방향으로) 감광 센서 수단(13-15)을 구비한다. 이들 센서 수단은 다른 센서를 일체로 내장하는 광원(13)을 구비한다. 도면 부호(15)는 광섬유 요소를, 도면 부호(14)는 렌즈를 지시한다. 이런 종류의 기구의 경우, 렌즈(14)에서 발하는 광선 빔이 반사되는지 여부를 감지할 수 있다.
도면 부호(16)는 헤드(18)가 카세트를 향해 이동될 때 필요한 경우, 웨이퍼를 카세트 쪽으로 더 밀어 넣는데 사용되는 2개의 로드(rod)를 지시한다.
도면 부호(22)에 제어 유닛을 도식적으로 도시하고 있다. 센서 수단(13-15,17)로부터 발생되는 신호는 상기 제어 유닛으로 전송된다. 제어 유닛으로는 성분(10)에 대한 헤드(18)의 위치 및 기준면에 대한 상기 성분의 높이에 관련된 신호도 전송된다. 이들 신호에 기초하여, 헤드(18)의 동작이 제어되는데, 그 제어 방식은 그다지 구체적으로 도시되어 있지는 않다.
베이스 플레이트(4 및 6) 모두에는 각각의 구획에 비교적 작은 직경(예, 2mm)의 주요 구멍(21)과 비교적 큰 직경(예, 5mm)의 보조 구멍(20)이 형성되어 있다. 이들 구멍은 언제나 위치 결정 돌출부(5)에 대해 정확히 설정되고 고정된 위치에 자리한다.
전술한 기구의 작동은 다음과 같다.
원형 회전체(1)의 구획 내에 배치될 것이며, 가능하게는 다른 매거진으로부터 또는 클램핑 바아(12) 사이에 기타 다른 방법으로 배열된 카세트를 헤드(18)에 제공하려면, 적절한 경우 수동으로 보조되는 제어 유닛(22)에 저장된 기본 루틴을 기초로 하여 해당 구획에 대한 헤드의 1차적인 부분 동작을 수행할 것이다. 이로부터, 구획에 대한 헤드의 1차적인 동작이 매우 정확하게 수행된다. 이 동작은 추후에 적소에 놓여질 카세트가 구획 안으로 너무 멀거나 높거나 낮게 이동됨으로써 있을 수 있는 손상이나 바람직하지 않은 접촉을 야기하지 않도록 행해진다.
1차적인 근접 위치에 도달하면, 헤드(18)는 아암(8,9)과 함께 스위치(17)가 베이스 플레이트(4 또는 6)에 도달하기 까지 아래로 이동된다. 결국, 그 방식을 자세히 도시하고 있지는 않지만 성분(17)은 위로 이동되어 광선 빔을 차단하며, 그 결과 소정의 신호가 전송된다. 상기 1차적인 신호가 수신되면, 해당 구획에 대한 헤드(18)의 수직 위치와 예컨대, 15mm의 고정된 상방 이동이 커버됨을 알 수 있다. 그런 다음, 위치 결정 돌출부(5)에서 보정중에 존재하지 않는 관련 카세트(3)의 정확한 위치를 설정하는 것을 가능하게 하기 위해, 헤드(18)의 수평 위치를 확립하는 것이 필요하다. 이를 위해, 1차적인 경우로 보조 구멍(20)을 이용한다.
조립/분리 또는 기타 불규칙적 상황에서 헤드의 정확도는 약 2.5mm 이상 변동되지 않을 것이기 때문에, 보조 구멍(20)은 5mm 직경으로 설계된다. 헤드(18)의 동작을 탐지하는 중에, 센서(13-15)로부터 발하는 광선 빔은 예정된 순간에 구멍(20)의 둘레 엣지를 감지할 것이다. 그런 다음, 헤드는 근접화 동작(approximating movement), 다시 말해 구멍(20)의 중심을 결정하기 위해 여러 수직 방향으로 이동하는 동작을 행한다. 이 위치가 결정되면, 헤드(18)는 전방으로 이동되어 보다 작은 구멍(21)(약 2mm)에 교차될 수 있다. 구멍(21)의 중심을 결정하기 위해 상기 구멍(20)에서와 같은 근접화 동작을 행할 수 있다. 그런 다음, 해당 구획에 대한 헤드의 위치를 확립하고, 후속하여, 헤드에 카세트가 장착되었을 때, 그 카세트를 정확하게 위치시킬 수 있다. 이 경우, 그 위치는 작은 직경의 구멍(21)을 기초로 항시 체크된다. 이 구멍의 크기는 예컨대 0.5mm와 같이 2mm 보다 작을 수 있다.
전술한 센서 이외에, 카세트의 클램핑 기구에 센서를 배치하는 것이 가능하다. 이들 센서는 카세트 전체를 클램핑 바아(12)로 파지하였을 때 활성화된다. 만일 어떤 불규칙적인 상황이 발생한다면, 카세트를 집어올리고 내려놓는 것이 중단될 것이고 경고 신호가 주어질 것이다.
헤드에 의해, 그리고 특히 헤드에 내장된 센서에 의해 기록된 위치들은 메모리에 저장된다. 이는 여러가지 성분의 서로에 대한 설정 상태가 파괴된 경우에만 관련 매거진에 대한 카세트의 정확한 위치를 탐색하는 것이 비록 자동화일지라도 비교적 느리게 수행됨을 의미한다. 그런 다음, 전술한 작업은 매우 빠른게 행해질 것이다.
본 발명은 바람직한 실시예와 관련하여 설명되었지만, 본 발명의 범위를 벗어나지 않고 다양하게 변형될 수 있음을 이해하여야 한다. 그러한 변형은 명세서를 독파한 당업자에게 분명할 것이며, 청구항의 범위 내에 내포되어 있다. 예를 들자면, 헤드(18)의 상부와 같이 어떤 다른 적정 위치에 센서 수단을 배치하는 것도 가능하다. 매거진은 대응하는 위치에 상호 협동 수단을 구비할 수 있다.

Claims (9)

  1. 구획을 갖는 원형 회전체(1)와 그 구획 내에 카세트(3)를 배치하기 위한 카세트 배치 기구(2)를 갖는 매거진(2)을 구비하고 있는 저장 조립체로서, 상기 회전체는 기본적으로 수직 축을 중심으로 회전하며, 카세트(3) 수납을 위해 다른 높이로 배열된 구획을 구비하며, 상기 구획은 카세트의 위치를 확립하기 위한 위치 확립 수단(5)을 갖는 베이스 플레이트(4,6)를 구비하며, 상기 카세트 배치 기구(2)는 높이 조절이 가능하며, 수평면에 위치될 수 있으며, 상기 카세트를 파지하기 위한 헤드(18)을 구비하고 있는 그러한 저장 조립체에 있어서,
    상기 헤드(18)는 매거진에 대한 수평 위치 및 수직 위치를 결정하기 위한 센서 수단(13-15;17)을 구비하며, 상기 매거진상에는 상기 수평 위치를 결정하기 위해 상기 센서 수단과 상호 작용하는 기준 수단(20,21)이 구비되는 것을 특징으로 하는 저장 조립체.
  2. 제1항에 있어서, 상기 수평 위치를 결정하기 위한 기준 수단은 베이스 플레이트상의 위치 확립 수단에 대해 고정된 일정한 거리에 배열된 기준 수단을 구비하는 것을 특징으로 하는 저장 조립체.
  3. 제2항에 있어서, 상기 수평 위치를 결정하기 위한 기준 수단은 베이스에 구멍(21)을 구비하며, 상기 구멍의 직경은 2mm 보다 작은 것을 특징으로 하는 저장 조립체.
  4. 제1항 내지 제3항중 어느 한 항에 있어서, 상기 수평 위치를 결정하기 위한 기준 수단은 서로에 대해 고정된 거리에 배치된 보조 기준 수단(20) 및 주(主) 기준 수단(21)을 구비하며, 상기 센서 수단(13-15)에 의해 감지될 수 있는 보조 기준 수단의 영역은 예상되는 헤드와 매거진 간의 최대 편차와 일치하는 것을 특징으로 하는 저장 조립체.
  5. 제4항에 있어서, 상기 보조 기준 수단(20)은 베이스 플레이트에 구멍을 구비하며, 상기 구멍의 직경은 7mm 보다 작은 것을 특징으로 하는 저장 조립체.
  6. 제1항 내지 제5항중 어느 한 항에 있어서, 상기 센서 수단(13-15)은 감광 센서 수단(light-sensitive sensor means)을 구비하는 것을 특징으로 하는 저장 조립체.
  7. 제1항 내지 제6항중 어느 한 항에 있어서, 상기 헤드의 동작을 조절하기 위한 제어 유닛(22)을 구비하며, 상기 센서로부터 발생된 신호가 상기 제어 유닛으로 전송되는 것을 특징으로 하는 저장 조립체.
  8. 제4항 또는 제7항에 있어서, 상기 제어 수단(22)은 상기 센서 수단을 포함하는 헤드가 주요 센서 수단에 대해 소망의 위치로 이동되도록 하는 방식으로, 상기 보조 기준 수단에 교차된 후 센서 수단으로부터 수신된 신호를 처리하도록 구성된 것을 특징으로 하는 저장 조립체.
  9. 제1항 내지 제8항중 어느 한 항에 있어서, 상기 기구(2)는 상기 헤드(18)를 자유단에 구비하는 스위블 피봇-아암 구조체로 된 것을 특징으로 하는 저장 조립체.
KR10-1999-7012556A 1997-07-03 1998-07-03 웨이퍼용 카세트 저장 조립체 및 카세트 배치 방법 KR100536768B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
NL1006461 1997-07-03
NL1006461A NL1006461C2 (nl) 1997-07-03 1997-07-03 Opslagsamenstel voor wafers.
PCT/NL1998/000383 WO1999001894A1 (en) 1997-07-03 1998-07-03 Storage assembly for wafers

Publications (2)

Publication Number Publication Date
KR20010020588A true KR20010020588A (ko) 2001-03-15
KR100536768B1 KR100536768B1 (ko) 2005-12-16

Family

ID=19765266

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7012556A KR100536768B1 (ko) 1997-07-03 1998-07-03 웨이퍼용 카세트 저장 조립체 및 카세트 배치 방법

Country Status (8)

Country Link
US (1) US6357984B1 (ko)
EP (1) EP1016128B1 (ko)
JP (1) JP2002507182A (ko)
KR (1) KR100536768B1 (ko)
AU (1) AU9610698A (ko)
DE (1) DE69825458T2 (ko)
NL (1) NL1006461C2 (ko)
WO (1) WO1999001894A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100715350B1 (ko) * 2005-10-14 2007-05-10 에버시스(주) 반도체 웨이퍼 저장 장치

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
US6304051B1 (en) * 1999-03-15 2001-10-16 Berkeley Process Control, Inc. Self teaching robotic carrier handling system
EP1344598B1 (en) * 2000-11-14 2009-10-14 Honda Giken Kogyo Kabushiki Kaisha Device for stocking/extracting part
FR2826897A1 (fr) * 2001-07-04 2003-01-10 Hpe Mecanisme de chargement et de dechargement de boites renfermant des plaquettes de microelectronique pour le transfert de l'equipement a un chariot de transport, et inversement
JP3832292B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷保管設備
DE10222333B4 (de) * 2002-05-18 2016-11-10 Leica Biosystems Nussloch Gmbh Vorrichtung zum Sammeln von bedruckten Kassetten und/oder von bedruckten Objektträgern für histologische oder zytologische Präparate
AU2003291901A1 (en) * 2002-12-16 2004-07-09 Thermo Crs Ltd. An automatic storage device with a cylindrical rack
US7395133B2 (en) * 2005-08-17 2008-07-01 Gregory Earl Lowe Environmentally controllable storage system
US20080118334A1 (en) * 2006-11-22 2008-05-22 Bonora Anthony C Variable pitch storage shelves
US8801357B2 (en) * 2010-01-29 2014-08-12 Prysm, Inc. System for removing a display unit from a multi panel display
WO2011125095A1 (ja) 2010-04-02 2011-10-13 ムラテックオートメーション株式会社 自動倉庫
EP2445003A1 (en) * 2010-10-25 2012-04-25 Applied Materials, Inc. Apparatus for providing a rotation carrier magazine, and method of operating thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102014015945B3 (de) * 2014-10-30 2015-03-26 Dräger Safety AG & Co. KGaA Magazinvorrichtung, Messsystem und Verfahren zur Messung einer Konzentration von gas- und/oder aerosolförmigen Komponenten eines Gasgemisches
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
DE102016124722B4 (de) * 2016-12-16 2018-10-04 KD Maennel GmbH Handhabungseinrichtung, insbesondere für eine Lagerungsvorrichtung
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7037352B2 (ja) * 2017-12-26 2022-03-16 川崎重工業株式会社 移送システム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3780852A (en) * 1972-02-02 1973-12-25 White Machine Co Article storage and retrieval apparatus
US3809263A (en) * 1973-05-29 1974-05-07 Ibm Continuously movable information storage and retrieval system
US4561820A (en) * 1978-05-30 1985-12-31 Sps Technologies, Inc. Carousel automatic storage and retrieval system
US4981409A (en) * 1985-04-16 1991-01-01 Canon Kabushiki Kaisha Cartridge auto changer
JPS63225968A (ja) * 1986-10-24 1988-09-20 Aisin Seiki Co Ltd デイスクフアイル装置
KR930002562B1 (ko) * 1986-11-20 1993-04-03 시미즈 겐세쯔 가부시끼가이샤 클린룸내에서 사용되는 방진저장 캐비넷장치
US4986715A (en) * 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
CH679035A5 (ko) * 1989-08-09 1991-12-13 Daverio Ag
JPH0738407B2 (ja) * 1989-12-28 1995-04-26 株式会社荏原製作所 保管庫
JPH0453601A (ja) * 1990-06-20 1992-02-21 Matsuura Kikai Seisakusho:Kk 旋盤
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置
US5233844A (en) * 1991-08-15 1993-08-10 Cryo-Cell International, Inc. Storage apparatus, particularly with automatic insertion and retrieval
US5285333A (en) * 1991-12-27 1994-02-08 Archive Corporation Mass storage and retrieval system for magnetic tape cartridges
JP2683208B2 (ja) * 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド ロボット機構を用いた搬入および搬出のためのワークピース位置合わせ方法および装置
US5498116A (en) * 1993-08-26 1996-03-12 Exabyte Corporation Entry-exit port for cartridge library
US5607275A (en) * 1993-08-26 1997-03-04 Exabyte Corporation Cartridge library and method of operation
AUPM392594A0 (en) * 1994-02-15 1994-03-10 Pignataro, Anthony Merchandising means and method
FR2719893B1 (fr) * 1994-05-13 1996-08-02 Europ Propulsion Dispositif de chargement d'un four spatial automatique.
ES2191808T3 (es) * 1997-10-14 2003-09-16 Exper Automation S P A Dispositivo para transferir objetos.

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100715350B1 (ko) * 2005-10-14 2007-05-10 에버시스(주) 반도체 웨이퍼 저장 장치

Also Published As

Publication number Publication date
EP1016128B1 (en) 2004-08-04
NL1006461C2 (nl) 1999-01-05
EP1016128A1 (en) 2000-07-05
US6357984B1 (en) 2002-03-19
KR100536768B1 (ko) 2005-12-16
DE69825458T2 (de) 2005-08-18
AU9610698A (en) 1999-01-25
JP2002507182A (ja) 2002-03-05
DE69825458D1 (de) 2004-09-09
WO1999001894A1 (en) 1999-01-14

Similar Documents

Publication Publication Date Title
KR20010020588A (ko) 웨이퍼 저장용 조립체
JP4722251B2 (ja) ウエハ移送ロボットの自動較正装置及び自動較正方法
US6591160B2 (en) Self teaching robot
CA1331486C (en) Robot arm calibration system
EP0708587B1 (en) Method and apparatus for mounting a part at a specific position
CN1057721C (zh) 激光加工机及其焦点设定方法
EP0725560B1 (en) Mounting device for mounting electric and/or electronic parts
US20110125325A1 (en) Teaching method for transfer robot
US6643565B2 (en) Self aligning robotic arm calibration apparatus
RU2296096C2 (ru) Способ и устройство для подачи катушек в машину
JP6050983B2 (ja) バッテリー交換ロボットおよびバッテリー交換ロボットの制御方法
US5990650A (en) Method and apparatus for orienting a disk via edge contact
JP6050982B2 (ja) バッテリー交換ロボットの教示方法およびバッテリー交換ロボット
WO1999002996A2 (en) Multiple point position scanning system
CN112589298B (zh) 电池治具与焊接装置
JP2014521108A (ja) 低温試料グループホルダーにおける寸法変化の補正のための方法と装置
JP4262803B2 (ja) カセット仕切り形成支持体と円盤状対象物とを識別する装置及び方法
KR100192662B1 (ko) 인요크 적재장치
JPH0719869A (ja) 構築物の変位検出方法及びこれに用いるターゲットプレート
JP2002068410A (ja) 自動倉庫ロボットの自動ティーチング方法と自動ティーチング装置
CN111623718B (zh) 一种掩模版凸版检测装置、传输系统及光刻设备
JPH08148546A (ja) ウェハ配列検出装置
JPS61500341A (ja) 作業片に対して素子を位置決めするための方法及び装置
EP0279621B1 (en) Keg-handling device
JPH05238513A (ja) 半導体ウェハー収納カセットと半導体ウェハー搬送機構との位置決め方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121123

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131119

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141124

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20161123

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee