KR20000023603A - 막 생성용 플라즈마 에칭 리액터 장치 및 방법 - Google Patents

막 생성용 플라즈마 에칭 리액터 장치 및 방법 Download PDF

Info

Publication number
KR20000023603A
KR20000023603A KR1019997000050A KR19997000050A KR20000023603A KR 20000023603 A KR20000023603 A KR 20000023603A KR 1019997000050 A KR1019997000050 A KR 1019997000050A KR 19997000050 A KR19997000050 A KR 19997000050A KR 20000023603 A KR20000023603 A KR 20000023603A
Authority
KR
South Korea
Prior art keywords
electrode
power
reactor chamber
reactor
power source
Prior art date
Application number
KR1019997000050A
Other languages
English (en)
Other versions
KR100528733B1 (ko
Inventor
데오넬라스스테펀피.
코퍼알퍼드
베일로버트씨.
Original Assignee
테갈 코퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 테갈 코퍼레이션 filed Critical 테갈 코퍼레이션
Publication of KR20000023603A publication Critical patent/KR20000023603A/ko
Application granted granted Critical
Publication of KR100528733B1 publication Critical patent/KR100528733B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • H05H1/16Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields
    • H05H1/18Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields wherein the fields oscillate at very high frequency, e.g. in the microwave range, e.g. using cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

플라즈마 에칭 리액터(20)는 접지된 상부 전극(24)을 가진 리액터 챔버(22), 고주파 전원(30) 및 저주파 전원(32)에 부착된 하부 전극 (28)과, 상부 및 하부 전극 사이에 위치되고, 부동 전위를 갖게 되는 주변 전극(26)을 포함한다. 희토류자석(46, 47)은 리액터 챔버(22)내에 생성된 플라즈마를 한정하는 자기장을 설정하는 데에 이용된다. 플라즈마 에칭 리액터(20)는 고밀도 반도체 소자에 이용된 생성막을 에칭할 수 있다.

Description

막 생성용 플라즈마 에칭 리액터 장치 및 방법{PLASMA ETCH REACTOR AND METHOD FOR EMERGING FILMS}
신규 세트의 생성막은 예를 들어 고밀도 DRAM과 같은 고밀도 반도체 칩을 개발하는데에 바람직하게 이용되어 왔다. 이런 물질은 메모리 소자상에서 개별 특성의 사이즈를 감축함으로써 고능력 소자를 제공한다. 따라서, 향상된 선택도 및 프로파일 제어가 요구된다.
과거에는, 저속 물리적 공정인 이온 밀(mills)은 반도체 웨이퍼상에 바람직한 프로파일을 설정하는데에 이용되어 왔다. 그런 이온 밀은 반도체 웨이퍼상에 형성된 프로파일이 이온 밀 빔의 각도에 민감한 결정을 가지고 있는데, 이런 이온 밀 빔은 요구된 프로파일을 획득하도록 정확히 위치되어야 한다. 그러나, 프로파일이 획득될 시에, 바람직한 프로파일의 가장자리에서 스티킹 업(sticking up)하는 큰 베일 또는 립(veils 또는 ribs)을 알게 되었다. 따라서 이온 밀은 막 생성에 적합하지 않았다.
막 생성에 이용되는 플라즈마 에칭 공정은 더욱 고속으로 이루어지지만, 그런 공정은 어떤 경우에 수용할 수 없는 특성 프로파일을 제공할 수 있다. 따라서,최종 반도체 생성물에 이용되는 생성막을 빠르고 정확하게 처리하는 에칭 공정을 제공할 필요가 있다.
발명의 요약
본 발명은 고밀도 반도체 소자에 이용된 생성막을 성공적으로 처리할 수 있는 플라즈마 에칭 리액터에 관한 것이다.
본 발명은 플라즈마 에칭 리액터를 제공하는 것으로서, 상기 리액터는, 접지되는 상부 전극 및 리액터 챔버, 고주파 전원 및 저주파 전원에 접속되는 하부 전극과, 상부 및 하부 전극 사이에 위치되는 주변 또는 링 전극을 가지고 있다. 상기 주변 또는 링 전극에 대한 전위는 부동(float)하게 된다. 선택적으로, 링 전극은 접지될 수 있다. 그런 리액터는 고밀도 반도체 생성물에 이용된 최신 생성막을 성공적으로 처리할 수 있다.
본 발명의 다른 목적은 고 자기장을 발생시킬 자석과, 최신 생성막을 성공적으로 에칭할 조밀한 플라즈마를 리액터 챔버에 제공하는 것이다.
본 발명의 또다른 목적은 하나 이상의 전원에 의해 제어된 플라즈마의 밀도 및 에칭 특성을 갖는 것이다.
본 발명의 부가적인 특성, 목적 및 양상은 아래의 설명 및 도면으로부터 명백해진다.
본 발명은 개선된 플라즈마 에칭 리액터 장치 및 방법에 관한 것이다.
도 1은 본 발명의 플라즈마 에칭 리액터의 실시예의 측면도이다.
도 2는 향상된 프로세스 가스 입구 노즐이 부가된 도 1과 유사한 도면이다.
도 3a 및 3b는 본 발명의 노즐의 양호한 실시예의 단면도 및 측면도이다.
도 4a, 4b, 4c 및 4d는 본 발명의 노즐의 다른 양호한 실시예의 동일 크기, 측면, 확장된 부분 측면 및 단면도이다.
도 5a, 5b 및 5c는 본 발명의 노즐의 또다른 양호한 실시예의 측면, 확장된 부분 단면 및 단면도이다.
도 6a, 6b 및 6c는 본 발명의 노즐의 또다른 실시예의 측면, 확장된 부분 단면 및 단면도이다.
도 7은 본 발명의 실시예의 주변 전극과 결합된 자석 배치의 사시도이다.
도 8은 도7의 자석과 관련하여 도시된 본 발명의 실시예의 상위 전극과 결합된 자석 배치의 사시도이다.
상기의 식별자가 없습니다.
도 1에서, 본 발명의 플라즈마 에칭 리액터(20)의 실시예의 측면도가 도시된다. 이런 리액터(20)는 여기서 참조로 포함되는 미국 특허 제 4,464,223 호에 기술된 리액터를 향상시킨 것이다.
리액터(20)는 접지된 상향 전극(24), 측면 주변 전극(26) 및 하부 전극(28)에 의해 바운드 된 리액터 챔버(22)를 포함한다. 측면 주변 전극(26)은 접지되거나 부동전위를 가질 수 있고, 동작시 플라즈마에 의해 충전될 수 있다. 양호한 실시예에서, 하부 전극(28)은 양호하게도 900 와트의 전력 레벨 및 양호하게도 1200 볼트의 전압에서 전력을 양호하게도 13.56 MHZ(또는 그의 배수)로 하부 전극(26)에 제공하는 전원(30)에 접속된다. 고주파 전원은 양호한 실시예에서 10 내지 2000 와트로 동작할 수 있다. 이는(양호하게도 무선 주파수 범위내의)고주파 전원이고, 이런 주파수는 양호하게도 2 MHz 내지 40 MHz, 그리고 약 900 MHz 이하의 범위일 수 있다. 이런 전력에는 또한 양호하게도 100 와트 내지 3,000 와트의 범위내에서 200 볼트 내지 5,000 볼트 사이의 전압이 공급될 수 있다.
제 2 전원(32)은 부가적으로 하부 전극(28)에 접속된다. 제 2 전원(32)은 양호하게도 450 KHZ로 동작되고, 전력은 양호하게도 100 와트와 300 볼트의 전압으로 공급된다. 이는 저주파 전원이다. (양호하게도, 무선 주파수 범위내의) 상기 전원은 100 kHz 내지 약 950 kHz (양호하게는 1 MHz 이하)의 범위내에서 10 와트 내지 2,000 와트의 전력 범위와, 10 볼트 내지 5,000 볼트의 전압 범위로 동작될 수 있다. 또한 하부 전극(28)에는 DC 전원(34)이 접속된다. 고주파 전원은 이온 플럭스를 제어하지만, 저주파 전원은 별도로 이온 에너지를 제어한다.
이는 전원, 특히 우수한 에칭 특성을 제공하도록 에칭 플라즈마의 밀도를 양호하게 제어하는 고주파 전원을 제어한다. 더욱이, 이는 최적 플라즈마 밀도가 전원의 제어로 선택될 수 있는 향상된 플라즈마 밀도 범위를 제공하는 리액터(20)의 디자인이다. 접지된 상향 전극(24)에는 프로세스 가스를 반도체 웨이퍼(48)에 지향된 리액터 챔버(22)내로 분출시키는 중앙 노즐이 결합된다.
아래에 상세히 기술되는 바와 같이, 노즐(36)로 부터의 프로세스 가스의 분출은 반도체 웨이퍼(48)의 표면에 효과적으로 도달할 수 있고, 반도체 웨이퍼(48)의 전체 표면위에 프로세스 가스를 균일하게 분포시킨다.
접지된 상부 전극(24) 및 노즐(36) 바로 위에는 리액터 챔버(22)로부터 소모된 가스 종류를 배출시키는 데에 이용되는 배출 스택(38)이 있다. (도시되지 않은) 펌프는 리액터 챔버(22)로부터 가스 종류를 비우도록 배출 스택(38)에 고정된다.
도 1에서 알 수 있는 바와 같이, 상부 전극(24) 및 노즐(36)바로 아래에는 돌출한 주변 배플(40)이 있다. 배플(40)은 절연물로 구성되고, 아래에 기술되는 바와 같이, 플라즈마 에칭 리액터(20)의 노즐(36) 및 하우징(44) 사이의 배출 경로(42)로 밀어낸다. 돌출 배플(40)은 리액터 챔버(22)내의 노즐(36) 및 솔리드 소스(50)로부터 각종 가스 종류를 양호한 혼합시킨다.
본 실시예에서 측면 주변 전극(26)내에 포함된 돌출 배플(40) 바로 아래에는 자석 또는 다수의 자석(46)이 있다. 또한 양호하게도 상부 전극(24)내에는 자석 또는 다수의 자석(47)이 포함되어 있다.
아래에 기술되는 바와 같이, 이런 자석(46 및 47)의 어느 하나 또는 양자 모두는 리액터 챔버(22)에 대해 및 이에 일치하여 자기 한정(confinement) 챔버를 한정한다. 이런 자기 한정 챔버는 리액터 챔버 내의 전하 이온 종류가 리액터 챔버(22)의 벽에 수집되지 않게 한다.
측면 주변 솔리드 소스(50)는 측면 주변 적극(26) 및 자석(46)을 피복(cover)한다. 이런 솔리드 소스는 양호한 실시예에서 링 전극(26)에 전력이 제공되지 않을 시에는 요구되지 않는다. 그러나, 상기 전원 이외에, 고주파 전원이 솔리드 소스(50)에 제공되고 나서, 상기 솔리드 소스(50)는 솔리드 소스(50)로부터 가스 종류의 원자를 노크(knock)하거나 부식시키는 예를 들어 무선 주파수 여기 이온의 충격(bombardment)을 통해 반응 챔버(22)로 스퍼터될 수 있는 가스 종류의 혁신적인 소스에 제공한다. 솔리드 소스의 표면으로부터의 가스 종류의 부식은 상기 전원을 펄스시킴으로써 영향을 받을 수 있다. 다른 잇점으로서, 솔리드 소스의 표면의 일부가 부식할 시에, 가스 종류의 조합으로 부식 표면 상에는 어떤 입자도 형성할 수 없다. 따라서, 솔리드 표면의 부식 부분상에 형성된 그런 입자로부터의 오염은 제거된다. 솔리드 소스(50)의 변형에 대해서는 아래에 기술하기로 한다.
솔리드 소스(50) 바로 아래에는 리액터 챔버(22)에 대해 반도체 웨이퍼(48)를 위치시키는 웨이퍼 처크(52)가 있다. 웨이퍼 클램프(53)는 웨이퍼(48)를 웨이퍼처크(52)상에 홀드한다.
본 실시예에서, 하부 전극(28)뿐만 아니라 웨이퍼 처크(52)도 웨이퍼(48)를 삽입 및 제거하도록 수직으로 하향 이동될 수 있다.
본 실시예에서, 바람직하다면, 측면 주변 전극(26) 및 자석(46)은 측면 주변 전극(26) 및 자석(46)은 냉각 웨이퍼 매니폴드(manifold)(54)를 이용하여 냉각될 수 있다. 솔리드 소스(50)는 바람직하다면 열 수(hot water)매니폴드(56)를 이용하여 가열될 수 있는 것으로 이해된다. 솔리드 소스(50), 특히 그의 전면 노즐면을 가열시키는 다른 방법은 저항 및 유도 열과, 램프 및 다른 광자 소스에 의해 제공된 방사열을 포함한다.
노즐로부터의 프로세스 가스 분출물 및 자석의 구성뿐만 아니라 돌출 배플(40)과, (전원이 주변 링 자극(26)에 접속될 경우에) 솔리드 소스로부터 부식된 가스 종류는 반도체 웨이퍼의 표면에 인접한 고밀도 플라즈마에 제공한다. 이런 구성은 리액터 챔버(22)내에 성취될 수 있는 밀도 범위를 크게 증가시킨다.
상기 동작 범위는 종래 소자로는 가능하지 않다. 하나 이상의 상기 특성은 플라즈마 밀도 범위를 확대하여, 본 발명의 정신 및 범주 내에서 에칭 공정을 향상시키는 데에 이용될 수 있는 것으로 이해된다.
리액터(20)의 선택적 실시예는 도 2에 도시된다. 유사한 구성소자는 전술된 바와 같이 유사한 번호로 표시된다. 도 2에서, 노즐(36)은 리액터 챔버(22)내의 가스 종류의 혼합의 균일성을 향상시키도록 수정되었다. 도 2에서 알 수 있는 바와 같이, 노즐(36)은 많은 방향으로 프로세스 가스를 채널 할 수 있는 매니폴드(70)를 포함한다. 매니폴드(70)로부터, 상부 전극(24)에 병렬이고 수평으로 프로세스 가스의 분출물을 지향하는 수평 포트(72, 74)가 있다. 포트(76)는 가스 분출물을 웨이퍼(48)상으로 수직 하향되게 한다. 포트(78 및 80)는 수평으로, 특히 웨이퍼(48)의 주변으로 왜곡된 방향으로 프로세스 가스의 분출물을 채널하여, 가스 종류의 양호한 혼합 및/또는 프로세스 가스의 균일한 분포를 확실하게 한다. 본 실시예에서, 이는 (전원이 주변 링 전극(26)에 접속될 경우) (1) 솔리드 소스(50)로부터 스퍼터되거나 부식된 가스 종류 및, (2) 노즐(36)의 포트로부터의 프로세스 가스의 양호한 혼합물이 반도체 웨이퍼(48)의 표면에 제공되게 하는 돌출 배플(40) 및 매니폴드(70)의 포트의 조합이다.
종래 기술 소자의 에칭은 통상적으로 300 내지 500 millitorr 범위내에서 수행되며, 이런 범위는 본 발명의 리액터에 의해 계획된 저압보다 높은 1 내지 2 정도의 크기이다. state-of-the-art 반도체 소자가 필요로 하는 초미세 특성의 에칭을 위해, 저압 동작이 바람직하다. 그러나, 저압에서, 고밀도 플라즈마를 유지하는 것이 더 어렵다.
도 1 및 2의 실시예에 대해, 본 발명은 고 플라즈마 밀도(웨이퍼에서의 10113) 및 (15 내지 300전자 볼트 이하의) 저 이온 에너지를 가진 저압(3 내지 5 millitorrs)에서의 플라즈마를 포함하는 자기장을 계획하고 있다. 일반적으로, 저압 동작은 약 150 millitorr 또는 약 100 millitorr 이하 및, 양호하게는 약 20 millitorr 또는 약 10 millitorr 이하에서 이루어진다. 초미세(초 0.5 미크론)소자에 대해, 플라즈마 소스는 웨이퍼에서 활성 가스의 고밀도를 가진 저압과 저 이온 에너지로 동작하여, 우수한 에칭 결과를 전한다.
(농도 특성을 저 농도 특성보다 더 급속히 에칭하는) 마이크로로딩 (microloading)을 최소화함으로써 저압 플라즈마는 에칭의 전체 품질을 향상시키며, 이의 둘은 전체 양품률에 악영향을 미칠 수 있다. 그러나, 저압은 웨이퍼에서 고밀도 플라즈마를 필요로 하여, 고속 에칭율을 유지하도록 에칭되는 반도체 웨이퍼상의 막과 반응하는 플라즈마 입자수를 증가시킨다. 고속 에칭율은 고 평균 처리율에 이르는 한 요인이다. 더욱이, 저 이온 에너지는 에칭 선택도를 향상시키고, 웨이퍼 손상을 최소화시킨다. 이의 둘은 전체 양품률을 향상시킨다. 본 실시예는 약 150 millitorr 이하에서 동작할 수 있다.
본 발명의 리액터(20)는 서로 다른 에칭 화학 또는 비법을 필요로 하는 다양한 서로 다른 기판 또는 막을 에칭하는 데에 이용될 수 있다. 원칙적으로, 본 발명의 실시예는 신규 생성막을 에칭하는데에 이용된다.
일반적으로, 화학은 2개 이상의 다음의 가스, 즉 할로겐 가스, 할로겐 함유 가스, 노블 가스 및 2 원자성 가스를 포함한다. 전술된 상기 특성의 변형에 대해서는 아래에서 상세히 설명된다.
솔리드 소스
전원이 주변 링 전극(26)에 접속될 경우에 솔리드 소스만이 동작된다. 그러나, 전원, 양호하게는 전원(30)과 같은 고주파 전원이 선택적인 실시예에서 주변 전극(26)에 접속될 경우에 다음과 같은 것이 적용된다.
솔리드 소스(50)로부터 부식되거나 스퍼터된 가스 종류나, 그로부터 부식되거나 스퍼터된 종류의 결핍은 플라즈마 에칭 리액터(20)에서 수행된 에칭 공정의 성공에 심오한 영향을 미칠 수 있다.
예로서, 솔리드 소스(50)는 무선 주파수 여기 이온에 의한 충격과 동시에 솔리드 소스로부터의 실리콘 및 산소의 가스 이온을 리액션 챔버내로 제공하는 예를 들어 실리콘 이산화물(SiO2) 또는 석영과 같은 유전 물질로 구성될 수 있다.
다른 형의 유전체 솔리드 소스는 알루미나(AL2O3)와 같은 세라믹을 포함할 수 있다. 이런 세라믹은 여기 가스 이온에 의해 충돌(impact)될 시에 저 스퍼터링 또는 부식율을 가지며, 솔리드 소스로부터의 어떤 부가적인 기여도 요구되거나 바람직하지 않는 상황에 유용하다. 특히, 알루미나에 대해, 대략 600볼트 피크 대 피크 하의 전원으로, 스퍼터링이 거의 관측되지 않는다. 그 임계에 걸쳐, 알루미나 솔리드 소스에서 스퍼터링이 있다.
일반적으로, 솔리드 소스는 반도체 물질, 유전 물질 또는 도체로 구성될 수 있다. 사실상, 솔리드 소스는 전극을 포함한 물질로 실시되고, 이런 물질은 적당한 가스종류를 리액터 챔버의 플라즈마에 제공하도록 부식될 수 있다. 적당한 유전 물질은 알루미나(AL2O3)이외의 다른 금속 산화물에 추가하여 실리콘 질화물(Si3N4)을 포함한다. 반도체 물질은 실리콘 카바이드(SiC)를 포함할 수 있다.
솔리드 소스(50)의 표면 온도는 양호하게도 적당한 스퍼터링을 제공하도록 80℃이상이다. 이온 온도와, 상기 솔리드 소스의 표면을 부식시키는 적당한 에너자이즈(enerigize)된 이온으로, 솔리드 소스는 여기에 기술되는 바와 같이 가스 종류로부터 입자의 형성을 위해 콜드 싱크(cold sink)되지 않으며, 입자는 이탈할 수 있고, 리액션 챔버(22)를 오염시킬 수 있다. 전술된 바와 같이, 솔리드 소스(50)로 부터의 가스 종류의 부식 또는 스퍼터링율은 (도시되지 않았지만, 전원(30)과 유사한) 고주파 전원에 의해 제어될 수 있다(도시되지 않았지만, 전원(30)과 유사한 전원을 증가시킴으로써, 고 에너지 이온은 솔리드 소스(50)를 충격시켜, 에칭 공정을 위해 솔리드 소스로부터 가스 종류의 부식율을 증가시키는 데에 이용될 수 있다. 예로서, 실리콘 이산화물의 솔리드 소스가 이용될 경우, 실리콘 이산화물로 부터의 가스 종류 스퍼터가 반도체 웨이퍼상의 수직 표면을 불활성화시켜, 그런 표면이 가스 에칭 종류에 의해 언더커트되지 않도록 할 시에 부등방성 에칭을 향상시킨다.
가스 소스
솔리드 소스로부터 부식된 가스 종류에 대해 기술된 상기 잇점에 추가하여, 그런 잇점은 또한 솔리드 소스의 표면에서 부식된 가스 종류로부터 유도된 효과를 가진 가스를 프로세스 가스에서 도입함으로써 획득될 수 있다. 예로서, 테트라에독시실란(TEOS)의 가스형은 프로세스 가스로 도입될 수 있다. TEOS는 에칭 공정동안의 실리콘 및 산소의 소스이다. 프로세서 챔버내의 TEOS는 여기에 기술된 에칭 공정에 대한 잇점을 가진 실리콘 이산화물(SiO2)의 솔리드 소스와 같은 가스 종류를 제공한다. 또한, 솔리드 소스 및 그런 종류의 가스 소스 양자의 조합은 본 발명의 정신 및 범주내에서 이루어진다.
노즐
도 3a, 3b, 4a, 4b, 4c, 4d, 5a, 5b, 5c, 6a, 6b 및 6c는 본 발명으로 이용될 수 있는 노즐 배치의 선택적인 양호한 실시예를 도시한 것이다. 통상적인 노즐 배치는 일반적으로 프로세스 가스가 배출될 만큼 많은 200 포트를 가진 "쇼우어 헤드(shower head)"구성에서 구성된다.
그런 배치의 의향은 특히 처리되는 반도체 웨이퍼의 표면에서 챔버내의 프로세스 가스를 균일하게 분포시키는 것이다.
종래 소자는 스태그네이트(stagneta)층을 생성시키고, 이용된 가스는 이미 웨이퍼 표면과 반응하여, 상기 표면으로 지향된 신규 프로세스 가스의 균일성을 희석시킨다. 본 발명은 그런 종류 기술의 노즐을 개선시킨다. 본 발명은 웨이퍼의 표면에 균일하게 분포시키도록 웨이퍼 표면에 인접하여 흡수되는 프로세스 가스의 불연속 콜리메이트(collimated)분출물을 생성시키는 노즐을 포함한다. 가스의 속도 및 분출물의 볼륨은 프레시 프로세스 가스가 반도체 웨이퍼의 표면에 확실히 도달하게 한다. 따라서, 프레시 프로세스 가스는 반도체 웨이퍼의 표면에 균일하게 분포된다. 이런 프로세스 가스 분출물은 솔리드 소스의 표면에서 부식된 가스 종류 및 프로세스 가스를 균일하게 분포시키는 웨이퍼의 표면에서 가스를 잘 섞는다.
도 3a 및 3b는 포트(92)를 가진 1-포트 노즐(90)을 도시한 것이다. 노즐은 양호하게도 알루미나로 구성된다. 이런 배치에 의해, 가스의 단일 분출물은 반도체 웨이퍼로 투사된다.
도 4a, 4b, 4c 및 4d는 또한 알루미나로 구성된 본 발명의 노즐(94)의 다른 양호한 실시예를 도시한 것이다.
본 실시예에서, 노즐(94)은 반도체 웨이퍼로 지향된 프로세스 가스의 분출물을 한정하는 12개의 포트를 포함한다. 양호하게도, 이런 분출물는 수직으로 비스듬한 각도로 지향되고, 각 분출물의 중심선은 웨이퍼의 주변 가장 자리로 지향된다. 이런 배치는 웨이퍼의 표면에서 신규 프로세스 가스를 균일하게 분포시키는 데에 바람직하다. 도 4d에서 알 수 있는 바와 같이, 포트는 노즐의 주변에 본포된다.
도 5a, 5b 및 5c는 본 발명의 노즐(98)의 다른 실시예를 도시한 것이다. 이런 배치에서, 포트(99)는 스타(star)형상으로 도시되고, 어떤 포트는 노즐(98)의 페이스(도5c) 주변상에 제공되지만 다른 포트는 노즐의 중심선상에서 한 포트와 중심으로 위치된다. 도 4a의 노즐로부터의 가스와 같이, 도 5a의 노즐의 분출물은 수직으로 각을 이루어 프로세스 가스를 균일하게 분포시키도록 반도체 웨이퍼의 가장 자리 및 반도체 웨이퍼의 몸체로 지향된다.
도 6a, 6b 및 6c는 본 발명의 노즐(100)의 또다른 양호한 실시예를 도시한 것이다. 본 실시예에서, 포트(102)는 노즐과 반도체 웨이퍼 사이의 수직선에 수직으로 지향된다. 본 실시예에서, 노즐은 솔리드 소스로부터의 가스 종류 및 프로세스 가스를 더욱 더 혼합하도록 측벽상의 솔리드 소스로 지향된다.
생성막
상기 리액터는 특히 신규 칩 설계시에 이용된 신규 등급의 생성막을 에칭하는데에 유용하다. 예로서, 이런 리액터 구성은 백금(pt)에칭시에 유용하고, 현재에는 고밀도 DRAM 소자 개발에 이용된다. 더욱이, 이런 리액터는 리드 지르코늄 티타나이트(PZT)의 에칭시에 유용하고, 현재에는 비휘발성, 강유전성 랜덤 액세스 메모리(FRAM) 소자의 개발에 이용된다. 게다가, 이런 리액터는 이리듐(Ir)에칭시에 유용하다. 더욱이, 이런 장치 및 방법을 이용하여 성공적으로 에칭될 수 있는 다른 생성막은 비스무트 스트론튬 티타나이트(BST)로 구성된다. 이런 신규막이 개선된 회로 성능에 기여하지만, 이의 유일한 특성은 특히 에칭하기가 어려워, 본 발명의 더욱 향상된 에칭 공정 기술을 필요로 한다. 양호한 실시예에 의해 처리될 수 있는 다른 생성막은 바륨 스트론튬 티타나이트(Y-1), 이리듐 산화물(IrO2), 루테늄(Ru) 및 루테늄 산화물(RuO4)을 포함한다.
이런 신규 생성막은 최신 반도체 소자에 상당한 잇점을 제공하는 것으로 이해된다. 예로서, 종래 반도체 소자에 이용된 유전체는 2 및 4 사이의 유전상수를 갖고 있다. PZT에 따른 유전 상수는 1400 이다. 따라서, 그런 막으로 제조된 신규 메모리 소자는 (더욱 작은 외형을 가져) 상당히 작고, 대용량 메모리 능력을 가질 수 있다. 더욱이, 그런 막은 EPROM, SRAM등과 같은 소자를 대신할 수 있는 DRAM 및 비휘발성 메모리용 캐패시터를 만드는데에 이용될 수 있다.
하부 전극(28)상의 이중(dual) 주파수는 최신 반도체 생성물용 생성막의 성공적인 에칭에 바람직하다. 이런 배치에는 약 0.25 미크론 이하의 초미세 범위내에 있는 감소된 임계 크기를 수용하도록 부등방성 측벽 프로파일에 이용되는 에칭소자가 요구된다.
자기 한정
전술된 자석(49, 47)은 고밀도 플라즈마가 저압으로 형성될 수 있게 하는 리액터 챔버(22) 주변에 자기 한정을 제공한다.
플라즈마는 가스 원자 및 전자의 충돌로 생성되고, 고 밀도 플라즈마를 저압으로 생성시킬 이온을 발생시키는 것으로 상기된다.
본 발명은 이를 플라즈마를 통해 이동하는 전자의 전체 경로 길이를 증가시키면서 리액터 벽에 대한 이온 손실을 최소화함으로써 성취한다. 플라즈마로 이동하는 전자는 자기장에 의해 플라즈마로 다시 반사되어, 전자의 경로 길이를 증가시킨다.
본 발명에 의하면, 이런 자석은 전자석 또는 영구 자석일 수 있고, 본 발명의 정신 및 범주내에 있을 수 있다. 에칭 챔버를 둘러싼 상기 자석은 정적 자기장 콘테이너를 생성시킨다. 자기장 효과는 리액터 벽 근처에만 존재하고, 웨이퍼에는 존재하지 않으며, 본래 균일한 플라즈마를 생성시킨다. 자석은 더욱 강한 자기 한정에 의해 전극상의 부식이 거의 없을 시에 전극을 보호하는 기능을 제공할 수 있다. 더욱 약한 한정은 전극 및 솔리드 소스의 더욱 많은 부식을 위해 제공한다.
따라서, 자석(46, 47)에 의해 유발된 자기 한정은 플라즈마를 집중시키도록 설계되고, 부식성 플라즈마로부터의 전극을 포함하여 프로세스 챔버부를 보호하는 효과를 가질 수 있다. 따라서, 전극을 대체하는 비용이 감소될 시에 비용을 상당히 절감할 수 있다.
도 7 및 8은 제각기 측면 전극(26) 및 상부 전극(24)과 관련할 자석(46, 47)의 배치를 도시한 것이다. 도 7에서 알 수 있는 바와 같이, 전극(26)에 대한 다수의 슬롯(60)이 있다. 양호한 실시예에서, 모든 다른 슬롯(60)은 자석(46)으로 채워진다. 이런 자석은 제각기 자극면의 표면에서 약 300 가우스 내지 약 600 가우스의 세기를 갖는다. 솔리드 소스(50)뒤에 위치된 상기 자석은 솔리드 소스로부터의 가스 종류의 부식율에 영향을 미친다. 전술된 바와 같이, 자석 없이도 많은 가스 종류가 솔리드 표면에서 부식되어, 에칭 공정에 영향을 미칠 수 있다.
이런 자석은 자극면 자석이다. 북극 및 남극은 자석의 정면(62) 및 대향면(64)상에 있다. 이런 자석을 선택적으로 배치함으로써 한 자석(46)의 제 1 북극면 및 제 2자석(46)의 남극면이 챔버의 중앙으로 지향된다. 이는 전극(26)의 외부 주변에서 반복된다.
도 8은 상부 전극(24)과 결합된 자석(47)의 배치를 도시한 것이다.
다시 말하면, 이런 자석은 자극면 자석으로서, 북극 및 남극은 자석의 측면에서 돌출한다. 도 8의 구성에 대해, 자석은 북극과 교번하여, 남극이 챔버로 직면한다.
본 실시예에 대해, 본 발명의 자기 한정 챔버는 양호하게도 리액터 챔버내의 플라즈마에 대한 최적 한정을 제공하도록 강력한 희토류 자석을 이용한다. 희토류 자석은 리액터 챔버(22)에서 누설한 가스 이온 및 전자 효과를 최소화시킨다. 이는 플라즈마의 밀도와 에칭 공정의 효율을 높이는 데에 도움을 준다. 양호한 실시예에서, 희토류 자석은 사마륨 코발트로 구성된다. 양호하게도, 이런 자석은 2,000 가우스 및 2,200 가우스 사이의 표면에서의 자석 세기를 갖는다. 그러나, 일반적으로, 이런 희토류 자석은 1,500 및 2,500 가우스 사이의 표면에서의 세기를 가질 수 있다.
특정 실시예에서, 주변 전극(26)은 리액터(20)의 주변상에서 나란히 배치된 그런 18개의 (도 7,8) 희토류 자석을 갖고 있다. 접지된 상부 전극(24)은 그와 결합하여 양호한 실시예에서 그런 24개의 (도 8) 희토류 자석을 갖고 있다. 이런 자석은 리액터 챔버(22)내에 대칭 자기장을 제공하도록 배치된다. 접지된 상부 전극(24)에 결합된 희토류 자석(47)에 대해, 이런 자석은 중심점 주변에서 스포크(spoke) 배치로 제공된다. 이런 배치는 중심점에서 주변으로 연장하는 자석과, 주변에서 짧은 위치의 중심적으로 연장하는 더욱 짧은 자석으로 구성된다. 전술된 바와 같이, 그런 희토류 자석은 리액터 챔버(22)의 벽에서 전하 입자 및 전자를 최대로 반발(repulsion)시킨다. 그런 구성에 의해, (특히, 주변 전극(26)이 접지되거나 부동일 시에) 솔리드 소스로부터 가스 종류가 스퍼터링 또는 부식이 일어나지 않아, 실리콘 이산화물(SiO2)은 더욱 값비싼 알루미나(Al2O3) 보다는 솔리드 소스로서 이용될 수 있다.
리액터 챔버
본 발명의 리액터 챔버는 전술되고 아래에 기술되는 바와 같이 플라즈마의 균일성을 향상시키도록 설계되었다. 주지된 바와 같이, 리액터 챔버(22)의 물리적 특성에 대해, 배플(40) 및 노즐(36, 70)의 배치는 리액터 챔버(22)의 프로세스 가스의 균일성에 기여한다. 배플(40)은 (특히 전원이 주변 전극(26)에 접속될 경우) 솔리드 소스(50)의 표면에서 부식된 가스 종류가 배출 샤프트(38)를 통해 펌프에 의해 즉시 끌어 올려지지 않지만, 반도체 웨이퍼(48)에 인접한 리액터 챔버내의 가스와 혼합하게 된다. 게다가, 가스의 분출물을 수직, 수평 및 비스듬한 각도로 채널하는 포트를 가진 노즐(38)은 솔리드 소스로부터의 어느 가스 종류가 노즐로부터의 프로세스 가스와 철저히 혼합되고, 이런 균일한 혼합물이 반도체 웨이퍼(48)에 제공되게 한다.
노즐에서 반도체 웨이퍼의 표면까지의 리액터 챔버의 높이는 최적화될 수 있다. 종래 기술의 소자는 511/4의 높이를 갖고 있다. 전술된 높이에 의해서도 노즐 배치는 노즐로부터의 가스 분출물가 반도체 웨이퍼의 표면에서 프로세스 가스를 균일하게 분포시키도록 최적화될 수 있다. 따라서, 또한 리액터 높이를 변화시키기 위해, 챔버 압력과 비교되는 노즐 패턴은 솔리드 소스를 이용하여 에칭 공정을 포함하는 에칭 공정에 최적화될 수 있다. 이런 높이는 양호한 실시예에서 리액터 챔버가 직경이 거의 141/2 일지라도 리액터 챔버의 직경과는 무관하다. 예로서, 리액터 챔버(22)내의 2 내지 3 millitorr 압력에서의 양호한 동작에 대해, 리액터 챔버의 높이는 양호하게도 약 4″이다. 4″이하의 높이에 대해, 분출물는 콜리메이트되어, 웨이퍼의 표면에서 균일하게 퍼지지 않는다. 4″이상의 높이에 대해, 분출물는 반도체 웨이퍼의 표면위에서 병합하여, 웨이퍼의 표면에서 프로세스 가스를 균일하게 분포시키지 않는다. 최적으로, 소정의 노즐 구성에 대해, 챔버의 압력과 리액터 챔버(22)의 높이의 곱은 일정하여, 최적 성능을 제공하는 것을 발견하게 되었다. 따라서, 전술된 바와 같이, 최적 성능은 4″의 높이와, 2 내지 3 millitorr의 압력으로 성취될 수 있다.
압력 및 높이 값의 범위는 100 millitorr에 대응하는 1/10인치 내지 최적 성능을 위한 1 millitorr에 대응하는 10″의 높이의 범위를 포함한다. 즉, 압력이 리액터 챔버내에서 증가하고, 리액터 챔버의 높이가 매우 낮으며, 압력이 감소함에 따라, 높이는 (1) 솔리드 소스에서 부식된 가스,(2) 주입된 프로세스 가스 및, (3) 웨이퍼 표면에서의 리액션 생성물(porducts)의 최적 혼합을 제공하도록 증가한다.
본 발명의 효과는 (1) 선택도를 증가시키고(즉, 예를 들어 산화물 기판을 보호하고), (2) 에칭 공정의 프로파일 제어를 향상시키며, 그리고 (3) 선폭 제어를 향상시킨다. (즉, 정확한 선폭이 포토레지스트에서 웨이퍼로 전달되도록 에칭 공정에서 포토레지스트를 보호한다.)
상기로부터, 본 발명은 고밀도 반도체 메모리와 같은 고밀도 반도체 소자를 제조하는데에 이용된 생성막을 성공적으로 에칭할 수 있는 장치 및 방법을 제공하는 것이다.
본 발명의 다른 특성, 양상 및 목적은 도면과 청구의 범위로부터 획득될 수 있다.
본 발명의 다른 실시예는 본 발명의 정신 및 범주내에서 생성될 수 있는 것으로 이해될 수 있다.

Claims (60)

  1. 플라즈마 에칭 리액터로서,
    리액터 챔버,
    전기부동 또는 접지에 있는 제 1 전극,
    제 2 전극,
    상기 제 2 전극에 접속되어, 제 1 주파수로 전력을 생성시키는 제 1 전원과, 상기 제 2 전극에 접속되어, 제 2 주파수로 전력을 생성시키는 제 2 전원을 구비하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  2. 제 1 항에 있어서,
    상기 제 1 전원은 저주파로 전력을 생성시키고,
    상기 제 2 전원은 고주파로 전력을 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  3. 제 1 항에 있어서,
    상기 제 1 전원은 약 1MHz 이하로 전력을 생성시키고,
    상기 제 2 전원은 약 2MHz 이상으로 전력을 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  4. 제 1 항에 있어서,
    상기 제 1 전원은 약 13.56MHz로 전력을 생성시키고,
    상기 제 2 전원은 약 450kHz로 전력을 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  5. 제 1 항에 있어서,
    접지되는 제 3 전극을 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  6. 제 1 항에 있어서,
    상기 리액터 챔버와 결합된 자기 한정부(confinement)를 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  7. 제 6 항에 있어서,
    상기 자기 한정부는 희토류 자석으로 구성되는 것을 특징으로 하는 플라즈마 에칭 리액터.
  8. 제 7 항에 있어서,
    상기 희토류 자석은 사마륨 코발트 자석인 것을 특징으로 하는 플라즈마 에칭 리액터.
  9. 제 1 항에 있어서,
    가스 종류의 솔리드 소스를 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  10. 제 1 항에 있어서,
    상기 제 1 부동전극을 커버하는 가스 종류의 솔리드 소스를 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  11. 제 1 항에 있어서,
    물을 홀드하는 데에 적합한 처크와,
    상기 제 1 전원 및 여기에 접속되고, 상기 처크내에 홀드된 물 뒤에 위치된 상기 제 2 전원을 가진 상기 제 2 전극을 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  12. 제 1 항에 있어서,
    플라즈마 밀도를 증가시키고, 리액터 챔버로부터 이온의 손실을 줄이는 데에 이용된 희토류 자석을 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  13. 제 1항에 있어서,
    상기 리액터 챔버 주변에 위치된 하나 이상의 자석을 포함하는데,
    상기 자석의 각각은 표면에서 약 2,000 가우스 내지 2,200 가우스의 세기를 가지는 것을 특징으로 하는 플라즈마 에칭 리액터.
  14. 제 1 항에 있어서,
    상기 리액터 챔버 주변에 위치된 하나 이상의 자석을 포함하는데,
    상기 자석의 각각은 표면에서 약 1,500 가우스 내지 약 2,500 가우스의 세기를 가지는 것을 특징으로 하는 플라즈마 에칭 리액터.
  15. 제 14 항에 있어서,
    상기 희토류 자석에 의해 설정된 자기장은 대칭인 것을 특징으로 하는 플라즈마 에칭 리액터.
  16. 제 1 항에 있어서,
    상기 리액터는 고도전 물질을 에칭할 수 있는 것을 특징으로 하는 플라즈마 에칭 리액터.
  17. 제 1 항에 있어서,
    상기 리액터는 고도전 물질을 초미세 크기로 에칭할 수 있는 것을 특징으로 하는 플라즈마 에칭 리액터.
  18. 제 1 항에 있어서,
    상기 리액터는 리드 지르코늄 티타나이트(PZT), 백금(Pt), 이리듐(Ir), 비스무트스트론튬 티타나이트(BST), 바륨 스트론튬 티타나이트(Y-1), 이리듐 산화물(IrO2), 루테늄(Ru) 및 루테늄 산화물(RuO4)의 적어도 하나의 막을 초미세 크기로 에칭할 수 있는 것을 특징으로 하는 플라즈마 에칭 리액터.
  19. 제 1 항에 있어서,
    상기 리액터는 강 유전성 랜덤 액세스 메모리(FRAM)용 웨이퍼를 에칭할 수 있는 것을 특징으로 하는 플라즈마 에칭 리액터.
  20. 제 1 항에 있어서,
    상기 제 1 전원은 약 2MHz 내지 약 950MHz 의 고주파로 전력을 생성시키고,
    상기 제 2 전원은 약 10kHz 내지 약 1MHz의 저주파로 전력을 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  21. 제 22 항에 있어서,
    상기 제 1 전원은 약 10 와트 내지 약 2,000 와트를 생성시키고,
    상기 제 2 전원은 약 100 와트 내지 약 3,000 와트를 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  22. 제 1 항에 있어서,
    상기 리액터 챔버는 약 150 millitorr 이하 및, 양호하게는 50 millitorr 이하로 동작할 수 있는 것을 특징으로 하는 플라즈마 에칭 리액터.
  23. 플라즈마 에칭 리액터로서,
    리액터 챔버,
    제 1 전극,
    제 2 전극,
    상기 제 1 전극에 접속되어, 제 1 주파수로 전력을 생성시키는 제 1 AC 전원및, 상기 제 1 전극에 접속되어, 제 2 주파수로 전력을 생성시키는 제 2 AC 전원을 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  24. 제 23 항에 있어서,
    상기 제 2 전극에 접속된 제 3 DC 전원을 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  25. 플라즈마 에칭 리액터로서,
    리액터 챔버,
    접지되는 제 1 전극,
    제 2 전극,
    상기 제 2 전극에 접속되어, 제 1 주파수로 전력을 생성시키는 제 1 전원과,
    상기 제 2 전극에 접속되어, 제 2 주파수로 전력을 생성시키는 제 2 전원을 구비하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  26. 제 25 항에 있어서,
    상기 제 1 전원은 저주파로 전력을 생성시키고,
    상기 제 2 전원은 고주파로 전력을 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  27. 제 25 항에 있어서,
    상기 제 1 전원은 약 1MHz 이하로 전력을 생성시키고,
    상기 제 2 전원은 약 2MHz 이상으로 전력을 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  28. 제 25 항에 있어서,
    상기 제 1 전원은 약 13.56MHz로 전력을 생성시키고,
    상기 제 2 전원은 약 450kHz로 전력을 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  29. 제 25 항에 있어서,
    상기 제 1 전극은 상부 전극이고,
    상기 제 2 전극은 상기 제 1 전극 밑에 위치된 하부 전극이며,
    웨이퍼 처크는 상기 제 1 전극 밑에서 상기 제 2 전극과 결합되는 것을 특징으로 하는 플라즈마 에칭 리액터.
  30. 제 25 항에 있어서,
    상기 제 1 전극 및 상기 제 2 전극 사이에 위치되고, 원통형으로 형성된 제 3 전극을 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  31. 제 25 항에 있어서,
    상기 제 1전극 및 상기 제 2 전극 사이에 위치된 제 3 전극을 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  32. 제 25 항에 있어서,
    전기 부동 또는 접지되는 것중의 하나인 제 3 전극을 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  33. 제 25 항에 있어서,
    상기 리액터 챔버와 결합된 자기 한정부(confinement)를 포함하는 것을 특징으로 하는 플라즈마 에칭 리액터.
  34. 제 33 항에 있어서,
    상기 자기 한정부는 희토류 자석으로 구성되는 것을 특징으로 하는 플라즈마 에칭 리액터.
  35. 제 34 항에 있어서,
    상기 희토류 자석은 사마륨 코발트 자석인 것을 특징으로 하는 플라즈마 에칭 리액터.
  36. 제 25 항에 있어서,
    상기 제 1 전극 및 상기 제 2 전극 사이에 위치된 제 3 전극을 포함하는데, 상기 제 3 전극은 전기적으로 부동이거나 접지되는 것중의 하나인 것을 특징으로 하는 플라즈마 에칭 리액터.
  37. 제 25 항에 있어서,
    상기 리액터 챔버 주변에 위치된 하나의 이상의 자석을 포함하는데,
    상기 자석의 각각은 표면에서 약 2,000 가우스 내지 2,200 가우스의 세기를 가지는 것을 특징으로 하는 플라즈마 에칭 리액터.
  38. 제 25 항에 있어서,
    상기 리액터 챔버 주변에 위치된 하나 이상의 자석을 포함하는데,
    상기 자석의 각각은 표면에서 약 1,500 가우스 내지 약 2,500 가우스의 세기를 가지는 것을 특징으로 하는 플라즈마 에칭 리액터.
  39. 제 25 항에 있어서,
    상기 리액터는 리드 지르코늄 티타나이트(PZT), 백금(Pt), 이리듐(Ir), 비스무트 스트론튬 타타나이트(BST), 바륨 스트론튬 티타나이트(Y-1), 이리듐 산화물(IrO2), 루테늄(Ru) 및 루테늄 산화물(RuO4)의 적어도 하나의 막을 초미세 크기로 에칭할 수 있는 것을 특징으로 하는 플라즈마 에칭 리액터.
  40. 제 25 항에 있어서,
    상기 리액터는 강 유전성 랜덤 액세스 메모리(FRAM)용 웨이퍼를 에칭할 수 있는 것을 특징으로 하는 플라즈마 에칭 리액터.
  41. 제 25 항에 있어서,
    상기 제 1 전원은 약 2MHz 내지 약 950MHz의 고주파로 전력을 생성시키고, 상기 제 2 전원은 약 10kHz 내지 약 1MHz의 저주파로 전력을 생성시키는 것을 특징으로 하는 플라즈마 에칭 리액터.
  42. 제 25 항에 있어서,
    상기 리액터 챔버는 약 150 millitorr 이하 및, 양호하게는 50 millitorr 이하로 동작할 수 있는 것을 특징으로 하는 플라즈마 에칭 리액터.
  43. 제 25 항에 있어서,
    상기 제 1 전원 및 상기 제 2 전원은 AC 전원이고,
    제 3 전원은 상기 제 2 전극에 접속되며, 상기 제 2 전원은 DC 전원인 것을 특징으로 하는 플라즈마 에칭 리액터.
  44. 웨이퍼 에칭 방법으로서,
    리액터 챔버내의 웨이퍼를 제 1 전극상에 위치시키는 단계,
    제 1 전원으로부터의 제 1 전력을 약 10MHz 내지 약 950MHz의 상기 제 1 전극에 인가하는 단계,
    제 2 전원으로부터의 제 2 전력을 약 10kHz 내지 약 1MHz의 상기 제 1 전극에 인가하는 단계,
    상기 인가 단계에 관련하여 프로세스 가스를 리액터 챔버내로 도입하는 단계 및, 상기 인가 단계 및 도입 단계와 관련하여 리액터 챔버내에서 150 millitorr 이하의 리액터 챔버내에 압력을 생성시키는 단계로 이루어지는 것을 특징으로 하는 웨이퍼 에칭 방법.
  45. 제 44 항에 있어서,
    상기 위치 단계는 리드 지르코늄 티타나이트(PZT), 백금(pt), 이리듐(Ir), 비스무트 스트론튬 티타나이트(BST), 바륨 스트론튬 티타나이트(Y-1), 이리듐 산화물(IrO2), 루테늄(Ru) 및 루테늄 산화물(RuO4)의 적어도 하나의 막으로 구성된 웨이퍼를 위치시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  46. 제 45 항에 있어서,
    초미세 특성을 에칭하도록 상기 막의 적어도 하나를 가진 리액터 챔버를 동작시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  47. 제 44 항에 있어서,
    약 13.56MHz에서의 제 1 전력을 제 1 전극에 인가시키는 단계 및,
    약 450kHz에서의 제 2 전력을 제 1 전극에 인가시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  48. 제 44 항에 있어서,
    강유전성 랜덤 액세스 메모리(FRAM)를 에칭하도록 상기 리액터 챔버를 동작시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  49. 제 44 항에 있어서,
    10 와트 내지 약 2,000 와트의 제 1 전력을 인가시키는 단계 및,
    100 와트 내지 약 3,000 와트의 제 2 전력을 인가시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  50. 제 44 항에 있어서,
    상기 생성 단계는 상기 인가 단계 및 상기 도입 단계와 관련하여 리액터 챔버내에서 50 millitorr 이하의 리액터 챔버내의 압력을 생성시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  51. 제 44 항에 있어서,
    제 2 전극이 부동 또는 접지 전위중의 하나를 갖게 하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  52. 제 50 항에 있어서,
    제 3 전극을 접지시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  53. 제 44 항에 있어서,
    상기 리액터 챔버내에 도입된 프로세스 가스와 관련하여 플라즈마를 생성시키도록 적어도 제 1 전원으로 부터의 전력과 조합하여 솔리드 소스를 이용하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  54. 제 44 항에 있어서,
    희토류 자석을 가진 리액터 챔버내의 플라즈마를 제어하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  55. 제 44 항에 있어서,
    자극면 자석을 가진 리액터 챔버내의 플라즈마를 제어하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  56. 웨이퍼 에칭 방법으로서,
    웨이퍼 처크상의 리액터 챔버내에 웨이퍼를 위치시키는 단계로서,
    상기 웨이퍼는 리드 지르코늄 티타나이트(PZT), 백금(pt), 이리듐(Ir), 비스무트 스트론튬 티타나이트(BST), 바륨 스트론튬 티타나이트(Y-1), 이리듐 산화물(IrO2), 루테늄(Ru) 및 루테늄 산화물(RuO4)의 적어도 하나의 막이 증착된 기판을 포함하는 단계,
    상기 웨이퍼 처크와 관련하여 전극을 제공하는 단계,
    상기 리액터 챔버내에 프로세스 가스를 도입하는 단계,
    상기 도입 단계와 관련하여, 제 1 전원에서의 전력을 약 2 MHz 내지 약 950MHz의 범위내의 주파수에서 전극에 인가하는 단계와,
    약 150 millitorr 이하의 압력에서 상기 리액터 챔버를 동작시키는 단계로 이루어지는 것을 특징으로 하는 웨이퍼 에칭 방법.
  57. 제 56 항에 있어서,
    약 10 와트 내지 약 3,000 와트의 범위에서 전력을 전극에 인가하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  58. 제 56 항에 있어서,
    상기 도입 단계와 관련하여, 제 2 전원에서의 전력을 약 10kHz 내지 약 1MHz 의 범위의 주파수에서 전극에 인가하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  59. 제 55 항에 있어서,
    상기 리액터 챔버와 결합된 제 2 전극이 부동 또는 접지 전위를 갖게 하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  60. 제 56 항에 있어서,
    상기 리액터 챔버와 결합된 제 3 전극이 접지되게 하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
KR10-1999-7000050A 1996-07-03 1997-01-23 이머징 필름들을 위한 플라즈마 에칭 리액터 장치 및 방법 KR100528733B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/675,093 1996-07-03
US08/675,093 1996-07-03
US08/675,093 US6048435A (en) 1996-07-03 1996-07-03 Plasma etch reactor and method for emerging films

Publications (2)

Publication Number Publication Date
KR20000023603A true KR20000023603A (ko) 2000-04-25
KR100528733B1 KR100528733B1 (ko) 2005-11-16

Family

ID=24709027

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7000050A KR100528733B1 (ko) 1996-07-03 1997-01-23 이머징 필름들을 위한 플라즈마 에칭 리액터 장치 및 방법

Country Status (9)

Country Link
US (3) US6048435A (ko)
EP (1) EP0913074B1 (ko)
JP (1) JP2000516033A (ko)
KR (1) KR100528733B1 (ko)
CN (4) CN101106074A (ko)
AT (1) ATE499825T1 (ko)
CA (1) CA2259973A1 (ko)
DE (1) DE69740130D1 (ko)
WO (1) WO1998001012A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100943013B1 (ko) * 2009-06-01 2010-02-18 (주)펨토사이언스 멀티 제너레이터 플라즈마 시스템
KR20110074246A (ko) * 2009-12-24 2011-06-30 재단법인 포항산업과학연구원 Sm2Co17 나노 분말의 제조 장치 및 제조 방법

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP2001107272A (ja) * 1999-10-08 2001-04-17 Hitachi Ltd 試料の処理方法および処理装置並びに磁気ヘッドの製作方法
US6322661B1 (en) * 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
AU2430601A (en) * 1999-12-13 2001-06-18 Semequip, Inc. Ion implantation ion source, system and method
US7838850B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
JP4339597B2 (ja) 2001-04-20 2009-10-07 ジェネラル・プラズマ・インコーポレーテッド ダイポールイオン源
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
KR100422594B1 (ko) * 2001-09-12 2004-03-16 주식회사 하이닉스반도체 반도체 소자의 커패시터 및 제조방법
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
JP2003234331A (ja) * 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
GB0219872D0 (en) * 2002-08-27 2002-10-02 Univ Belfast Charged particle manipulation
US6946511B2 (en) * 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
WO2005028697A1 (en) 2003-09-12 2005-03-31 Applied Process Technologies, Inc. Magnetic mirror plasma source and method using same
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
JP2006073790A (ja) * 2004-09-02 2006-03-16 Tokyo Institute Of Technology プラズマエッチング装置
JP4628874B2 (ja) * 2005-06-03 2011-02-09 東京エレクトロン株式会社 プラズマ処理装置及び電位制御装置
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
KR100776616B1 (ko) * 2006-05-04 2007-11-15 한국기계연구원 평판형 저온 플라즈마 반응기
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
JP2009038209A (ja) * 2007-08-01 2009-02-19 Mitsubishi Materials Corp 均一なエッチングを行うことができるシリコン電極板
US8395250B2 (en) * 2007-09-04 2013-03-12 Kabushiki Kaisha Sharp Plasma processing apparatus with an exhaust port above the substrate
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US20100085129A1 (en) * 2008-10-06 2010-04-08 Asm Japan K.K. Impedance matching apparatus for plasma-enhanced reaction reactor
CN101989525A (zh) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 具备可切换偏置频率的等离子体处理腔及可切换匹配网络
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9105583B2 (en) 2013-01-07 2015-08-11 International Business Machines Corporation Catalytic etch with magnetic direction control
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9377285B2 (en) 2013-02-13 2016-06-28 Allegro Microsystems, Llc Magnetic field sensor and related techniques that provide varying current spinning phase sequences of a magnetic field sensing element
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP2023043720A (ja) * 2021-09-16 2023-03-29 キオクシア株式会社 基板処理装置、及び半導体装置の製造方法
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2468174A (en) * 1943-05-06 1949-04-26 Koppers Co Inc Apparatus for electriclaly transforming materials
DE1089112B (de) 1958-02-13 1960-09-15 Thomson Houston Comp Francaise Vakuumpumpe
US3458817A (en) * 1967-02-13 1969-07-29 Westinghouse Electric Corp Microwave high power short pulse shaper
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS52127168A (en) * 1976-04-19 1977-10-25 Fujitsu Ltd Etching unit
US4167370A (en) 1976-11-01 1979-09-11 Massachusetts Institute Of Technology Method of an apparatus for self-sustaining high vacuum in a high voltage environment
US4230515A (en) 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4243476A (en) * 1979-06-29 1981-01-06 International Business Machines Corporation Modification of etch rates by solid masking materials
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS5687672A (en) * 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
US4349409A (en) * 1980-05-12 1982-09-14 Fujitsu Limited Method and apparatus for plasma etching
US4340461A (en) * 1980-09-10 1982-07-20 International Business Machines Corp. Modified RIE chamber for uniform silicon etching
JPS57149734A (en) * 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS58122731A (ja) * 1982-01-14 1983-07-21 Toshiba Corp ドライエツチング方法
JPS5916334A (ja) 1982-07-19 1984-01-27 Matsushita Electronics Corp ドライエツチング方法
US4483737A (en) * 1983-01-31 1984-11-20 University Of Cincinnati Method and apparatus for plasma etching a substrate
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS61116841A (ja) * 1984-11-12 1986-06-04 Fujitsu Ltd ドライエツチング装置
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4774437A (en) * 1986-02-28 1988-09-27 Varian Associates, Inc. Inverted re-entrant magnetron ion source
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
DE3882404T2 (de) * 1987-03-27 1993-12-23 Canon Kk Gerät zur Bearbeitung von Substraten.
JP2618951B2 (ja) 1988-02-16 1997-06-11 株式会社東芝 三次元図形処理装置
EP0343500B1 (en) * 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
JPH02119124A (ja) * 1988-10-28 1990-05-07 Seiko Epson Corp プラズマ処理装置
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
JP2981749B2 (ja) * 1989-05-30 1999-11-22 日本真空技術株式会社 プラズマ処理装置
JPH0796665B2 (ja) 1989-06-20 1995-10-18 日本ペイント株式会社 水性樹脂分散体
JPH0329101A (ja) * 1989-06-26 1991-02-07 Sanyo Electric Co Ltd 回転ヘッド式テープレコーダのアフターレコーディング方法
JPH03241740A (ja) * 1990-02-19 1991-10-28 Fujitsu Ltd 半導体装置の製造方法
JPH03254047A (ja) 1990-03-02 1991-11-13 Nippon Telegr & Teleph Corp <Ntt> マイクロ波イオン銃
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
EP0849766A3 (en) * 1992-01-24 1998-10-14 Applied Materials, Inc. Etch process
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
KR0171060B1 (ko) 1993-12-28 1999-03-30 스기야마 카즈히코 반도체장치의 제조방법
JP3152829B2 (ja) * 1994-01-18 2001-04-03 株式会社東芝 半導体装置の製造方法
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JPH07245296A (ja) * 1994-03-07 1995-09-19 Tokyo Electron Ltd プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JPH07320671A (ja) * 1994-05-25 1995-12-08 Nec Kansai Ltd イオン打込み装置のイオン源および固体ソースの加熱方法
JP3309581B2 (ja) * 1994-08-31 2002-07-29 ソニー株式会社 ペロブスカイト型酸化膜のドライエッチング方法
KR100416733B1 (ko) * 1995-03-20 2004-07-05 삼성전자주식회사 강유전성캐패시터
KR100322695B1 (ko) * 1995-03-20 2002-05-13 윤종용 강유전성캐패시터의제조방법
US5633781A (en) * 1995-12-22 1997-05-27 International Business Machines Corporation Isolated sidewall capacitor having a compound plate electrode

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100943013B1 (ko) * 2009-06-01 2010-02-18 (주)펨토사이언스 멀티 제너레이터 플라즈마 시스템
KR20110074246A (ko) * 2009-12-24 2011-06-30 재단법인 포항산업과학연구원 Sm2Co17 나노 분말의 제조 장치 및 제조 방법

Also Published As

Publication number Publication date
CN1549309A (zh) 2004-11-24
US6048435A (en) 2000-04-11
CN101106074A (zh) 2008-01-16
EP0913074B1 (en) 2011-02-23
ATE499825T1 (de) 2011-03-15
US6190496B1 (en) 2001-02-20
CN100378924C (zh) 2008-04-02
WO1998001012A1 (en) 1998-01-08
US6410448B1 (en) 2002-06-25
CN1549308A (zh) 2004-11-24
CN1210999C (zh) 2005-07-13
CA2259973A1 (en) 1998-01-08
CN1232601A (zh) 1999-10-20
EP0913074A4 (en) 2003-12-03
KR100528733B1 (ko) 2005-11-16
DE69740130D1 (de) 2011-04-07
EP0913074A1 (en) 1999-05-06
JP2000516033A (ja) 2000-11-28

Similar Documents

Publication Publication Date Title
KR20000023603A (ko) 막 생성용 플라즈마 에칭 리액터 장치 및 방법
US6354240B1 (en) Plasma etch reactor having a plurality of magnets
US5607542A (en) Inductively enhanced reactive ion etching
KR101342319B1 (ko) 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원
US7922862B2 (en) Plasma processing apparatus, electrode plate for plasma processing apparatus, and electrode plate manufacturing method
JP3691528B2 (ja) 高密度プラズマcvd及びエッチングリアクタ
US5964949A (en) ICP reactor having a conically-shaped plasma-generating section
US6339206B1 (en) Apparatus and method for adjusting density distribution of a plasma
US5846331A (en) Plasma processing apparatus
CN113410162A (zh) 用于处理基板的设备和用于处理基板的方法
US4424102A (en) Reactor for reactive ion etching and etching method
JP3748230B2 (ja) プラズマエッチング装置及びシャワープレート
KR100453538B1 (ko) 플라즈마에칭리액터장치및방법
KR100501823B1 (ko) 플라즈마 발생 방법 및 그 장치
KR100716690B1 (ko) 반도체 시료의 처리 장치 및 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121019

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131119

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141027

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee