KR20000017688A - 복수매기판의박막증착공정이가능한원자층증착장치 - Google Patents

복수매기판의박막증착공정이가능한원자층증착장치 Download PDF

Info

Publication number
KR20000017688A
KR20000017688A KR1019990015805A KR19990015805A KR20000017688A KR 20000017688 A KR20000017688 A KR 20000017688A KR 1019990015805 A KR1019990015805 A KR 1019990015805A KR 19990015805 A KR19990015805 A KR 19990015805A KR 20000017688 A KR20000017688 A KR 20000017688A
Authority
KR
South Korea
Prior art keywords
thin film
substrates
gas
atomic layer
layer deposition
Prior art date
Application number
KR1019990015805A
Other languages
English (en)
Other versions
KR100347379B1 (ko
Inventor
현광수
박경호
윤능구
최강준
정수홍
Original Assignee
정수홍
피케이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 정수홍, 피케이 주식회사 filed Critical 정수홍
Priority to KR1019990015805A priority Critical patent/KR100347379B1/ko
Priority to US09/390,710 priority patent/US6042652A/en
Priority to JP11268198A priority patent/JP2000319772A/ja
Publication of KR20000017688A publication Critical patent/KR20000017688A/ko
Application granted granted Critical
Publication of KR100347379B1 publication Critical patent/KR100347379B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치를 개시한다. 개시된 원자층 증착장치는 진공챔버와, 상기 진공챔버 내에 설치되는 것으로 그 내부에 복수매의 기판이 수용되는 반응용기와, 상기 반응용기와 상호 대향되게 설치되어 반응가스 및 퍼징가스를 상기 반응용기 내로 공급하는 가스 공급부를 구비하며, 상기 반응용기는 분리 가능한 다수의 모듈이 상보적으로 각각 조립되어 마련되는 것으로 일측에 개구가 형성되어 있는 몸체부; 상기 모듈들의 조합에 의해 상기 몸체부 내에 공간적으로 구획되어 형성되며, 상기 개구를 통하여 그 내부영역에 상기 기판이 각각 수용되는 다수의 스테이지부; 및 상기 모듈들의 타측에 각각 형성되어 상기 스테이지부들과 연통되는 것으로 상기 가스 공급부로부터 공급되는 상기 가스들이 그 경로를 통해 상기 스테이지부들로 주입되는 다수의 가스 공급라인;를 구비함으로써, 복수매의 동일 기판에 균일도가 향상된 미세 패턴의 박막을 동시에 증착시킬 수 있기 때문에 단위 시간에 대한 증착효율을 크게 증진시켜 결과적으로는 생산효율이 향상된다.

Description

복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치{Atomic layer deposition apparatus for depositing multi substrate}
본 발명은 원자층 증착법(ALD: Atomic Layer Deposition)을 적용한 원자층 증착장치에 관한 것으로서, 보다 상세하게는 복수매의 동일 기판에 미세한 패턴의 박막 증착이 가능하도록 된 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치에 관한 것이다.
최근들어 반도체 메모리 소자가 점점 미세화 되면서 미세 패턴의 요철에 대하여 균일한 박막의 성장기술 즉, 기체 상의 박막물질을 기판 상에 증착함으로써 소정의 막을 형성하는 기술에 주목하고 있으며, 이러한 방법으로는 크게 스퍼터링법(sputtering), 화학기상증착법(CVD: Chemical Vapor Deposition), 원자층 증착법(ALD: Atomic Layer Deposition) 등으로 대별된다.
상기 스퍼터링(sputtering)법은 고전압이 인가된 진공챔버 내에 아르곤(Ar) 가스를 주입시켜 비활성기체인 아르곤을 플라즈마(plasma) 상태로 만든 후, 아르곤 가스 이온이 타켓(target) 표면층으로 날아들어 타겟 표면층과 서로 충돌해 그 충격으로 인한 타겟 입자를 기판 상으로 튕겨내어 증착시키는 방법이다.
이러한 스퍼터링법은 박막의 순도와 접착력 등에서는 유리한 방법이지만, 요철의 형태로 단차가 형성된 패턴 특히, 단차가 낮은 요부에 증착되는 박막의 두께가 얇아져 균일성을 확보하기가 어려우므로 미세한 패턴에서의 응용은 매우 제한적이다.
상기 화학기상증착법(CVD: Chemical Vapor Deposition)에 의한 박막 증착은 가장 보편화된 기술로서 기체 즉 가스의 분해와 반응을 이용하여 기판 위에 원하는 막을 원하는 두께 만큼 증착시키는 공정으로서, 여러가지의 기체를 주입한 후, 기체들에 열, 빛, 플라즈마와 같은 에너지를 이용하여 기체들의 화학반응을 유도함으로써 기판 상에 소정 두께의 박막을 증착시키는 방법이다. 이러한 화학기상증착법은 반응에너지를 공급하는 열, 빛, 플라즈마 등을 제어하거나, 기체의 양과 비율 등을 제어하여 빠른 반응속도로 기판 상에 증착시킬 수 있다.
그러나, 이러한 반응속도는 일반적으로 매우 빠르게 진행되기 때문에 원자들의 열역학적 안정성을 제어하는데 어려움이 있다. 또한, 이같은 방법은 박막의 물리적, 화학적, 전기적 성질이 떨어지게 되고, 상기의 스퍼터링법 설명에서 지적한 바와 같이 미세한 요철에서의 박막 균일성을 확보하기가 어렵다.
한편, 상기의 원자층 증착법(Atomic Layer Deposition)은 가스 펄싱(gas pulsing) 방법을 도입하여 단 원자층의 박막을 교대로 증착시키는 것으로 요즘들어 반도체 소자의 집적도 증가에 따른 높은 종횡비(Aspect Ratio)와, 요철에서의 박막 균일도 그리고 우수한 전기적, 물리적 성질을 가지는 박막 형성의 요구에 대응하여 적용 되어지고 있다. 여기서 가스 펄싱 방법은 반응 가스와 퍼징(purging) 가스가 교대로 공급되는 방식을 의미한다. 이와 함께, 원자층 증착법을 이용한 박막 형성 방법은 증착된 박막 내의 잔류 불순물이 적고, 200Å 미만의 박막을 증착할 때 두께 조절이 용이하다는 장점을 갖고 있다.
상술한 바와 같이 원자층 증착법을 적용한 종래에 따른 원자층 증착장치의 예들을 도 1 및 도 2를 참조하여 간단하게 설명하기로 한다.
도 1을 참조하면, 종래의 일례에 따른 원자층 증착장치는 진공챔버(10)와, 진공챔버(10) 내에 장착되어 후술되는 기판(13)을 박막 증착에 적용되는 적정온도로 가열하기 위한 히터(11)가 구비되고, 히터(11)의 상면에는 미도시된 기판 홀더에 한 장의 기판(13)이 안착되어 있으며, 기판(13)은 히터(11)에 의해 균일한 온도분포를 가지게 된다.
그리고, 진공챔버(10) 내에는 기판(13)의 상면에 대응하여 소정의 반응가스가 기판(13)으로 유입되게 하는 샤워헤드(15)가 설치되어 있다. 이와 같은 원자층 증착장치는 소정의 반응가스가 샤워헤드(15)를 통해 적정온도 내의 진공챔버(10)로 유입되고, 진공챔버(10) 내로 유입된 상기 반응가스는 기판(13) 상에 미세한 패턴의 박막으로 증착된다. 또한, 증착 후의 잔류가스는 교대로 유입된 퍼징가스에 의해 퍼지되어 외부로 배출된다.
도 2를 참조하면, 종래의 다른 예에 따른 원자층 증착장치는 진공챔버(20)와, 진공챔버(20) 내에 설치되는 반응기(20a)를 구비한다. 반응기(20a)는 그 상부가 개폐가능하게 설치되며, 반응기(20a)의 상하부에는 도 1에서와 같은 기능을 하는 히팅부재(21)가 설치되어 있다.
그리고, 반응기(20a) 내에는 한 장의 기판(23)이 안착 지지되어 있으며, 기판(23)은 히팅부재(21)에 의해 균일한 온도분포를 가지게 된다. 이러한 원자층 증착장치는 소정의 반응가스가 반응기(20a)의 가스주입구(25)를 통해 반응기(20a) 내부로 주입되고, 주입된 반응가스는 기판(23) 상에 박막으로 증착된다. 이 후, 증착되고 남은 반응가스는 교대로 주입된 퍼징가스에 의해 퍼지되어 반응기(20a)의 가스배출구(26)를 통해서 외부로 배출된다.
그러나, 이와 같은 예들의 원자층 증착장치들은 박막의 높은 종횡비와, 요철에서의 균일도가 향상된 박막을 구현할 수 있음에도 불구하고 낮은 증착속도와, 한 장씩의 기판에 증착해야 한다는 단점 때문에 크게 상용화 되지 못하고 있다. 이러한 단점을 보완하기 위해 기체들의 활성화 에너지를 높여 증착속도를 높일 수는 있지만, 원자층 제어가 불가능해 기판 상에 화학기상증착이 진행됨으로써 원자층 증착법의 장점인 양질의 박막 성질과 요철에서의 박막 균일도가 저하되는 문제점을 야기시킨다.
본 발명은 상기와 같은 문제점을 개선하고자 창출된 것으로서, 복수매의 동일 기판에 미세한 패턴의 박막 증착이 가능하게 하여 단위 시간당의 증착속도가 향상되도록 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치를 제공하는 점에 그 목적이 있다.
도 1은 종래에 따른 원자층 증착장치의 일 예를 나타내 보인 개략적 단면도이고,
도 2는 종래의 다른 예에 따른 원자층 증착장치를 나타내 보인 개략적 단면도이고,
도 3은 본 발명의 일 실시예에 따른 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치를 나타내 보인 개략적 단면도이고,
도 4 및 도 5는 도 3을 설명하기 위한 개략적 도면이고,
도 6은 본 발명의 일 실시예에 따른 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치를 나타내 보인 개략적 단면도이고,
그리고 도 7 및 도 8은 도 6을 설명하기 위한 개략적 도면이다.
<도면의 주요 부분에 대한 부호의 설명>
100... 진공챔버 200a,300a... 반응용기
200b,300b... 가스 공급부
201(201a,201b,201c,201d)... 반도체 기판
301(301a,301b,301c,301d)... 유리 기판
203(203a,203b,203c,203d),303(303a,303b,303c,303d)... 스테이지부
205,305... 히팅부재 207,307... 안착부
209,309... 기판용 이송장치
210(210a,210b,210c,210d,210e),310(310a,310b,310c,310d,310e)... 모듈
211(211a,211b,211c),311(311a,311b,311c)... 가스 공급라인
213,313... 제1완충라인 215,315... 제2완충라인
217,317... 제3완충라인 A1,A2... 제1 및 제2주입구
B1,B2... 제1 및 제2분사구
상기와 같은 목적을 달성하기 위하여 본 발명에 따른 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치는 원자층 증착법을 적용하여 미세한 패턴의 박막을 형성하도록 된 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치에 있어서, 진공챔버와, 상기 진공챔버 내에 설치되는 것으로 그 내부에 복수매의 기판이 수용되는 반응용기와, 상기 반응용기와 상호 대향되게 설치되어 반응가스 및 퍼징가스를 상기 반응용기 내로 공급하는 가스 공급부를 구비하며, 상기 반응용기는 분리 가능한 다수의 모듈이 상보적으로 각각 조립되어 마련되는 것으로 일측에 개구가 형성되어 있는 몸체부; 상기 모듈들의 조합에 의해 상기 몸체부 내에 공간적으로 구획되어 형성되며, 상기 개구를 통하여 그 내부영역에 상기 기판이 각각 수용되는 다수의 스테이지부; 및 상기 모듈들의 타측에 각각 형성되어 상기 스테이지부들과 연통되는 것으로 상기 가스 공급부로부터 공급되는 상기 가스들이 그 경로를 통해 상기 스테이지부들로 주입되는 다수의 가스 공급라인;을 구비하는 것을 특징으로 한다.
본 발명에 따르면, 상기 반응가스 및 퍼징가스는 상기 가스 공급라인으로 공급되어 상기 개구를 통해 배출되는 것이 바람직하다.
본 발명에 따르면, 상기 모듈의 최상부 및 최하부에 히팅부재가 장착되며, 상기 히팅부재는 삽입형 발열체인 것이 바람직하고, 상기 반응용기는 상기 진공챔버의 외부에서 발하는 램프히터에 의해 소정 온도의 분위기로 유지되는 것이 바람직하다.
본 발명에 따르면, 상기 스테이지부는 원형의 반도체 기판이 수용되며, 상기 반도체 기판의 형상에 대응되는 내부공간이 마련되며, 상기 스테이지부는 사각형상의 유리 기판이 수용되며, 상기 유리 기판의 형상에 대응되는 내부공간이 마련된 것이 바람직하다.
본 발명에 따르면, 상기 스테이지부의 바닥면에 상기 반도체 기판이 안착되는 원형의 안착부가 마련되며, 상기 안착부에는 기판 장착용 홈이 형성된 것이 바람직하고, 상기 스테이지부의 바닥면에 상기 유리 기판이 안착되는 사각형상의 안착부가 마련되며, 상기 안착부에는 기판 장착용 홈이 형성된 것이 바람직하다.
본 발명에 따르면, 상기 가스 공급라인은 상기 모듈들의 타측에 각각 형성되어 상기 스테이지부의 내측벽에 연통되는 제1 및 제2공급라인과, 상기 모듈들의 타측에 각각 형성되어 상기 안착부의 홈에 연통되는 단일의 제3공급라인이 마련되는 것이 바람직하다.
본 발명에 따르면, 상기 제1 및 제2공급라인은 단일의 주입구와, 상기 반도체 기판의 인입측 형상에 대응되는 상기 스테이지부의 내측벽에 형성된 다수개의 분사구가 마련되어 있는 것이 바람직하며, 상기 제1 및 제2공급라인은 단일의 주입구와, 상기 유리 기판의 인입측 형상에 대응되는 상기 스테이지부의 내측벽에 형성된 다수개의 분사구가 마련되어 있는 것이 바람직하다.
본 발명에 따르면, 상기 제1 및 제2공급라인에는 각각의 상기 반응가스 및 퍼징가스가 교대로 공급되며, 상기 제3공급라인에는 상기 기판의 온도분포를 균일하게 하고, 상기 기판의 저면에 상기 반응가스에 의한 박막이 증착되지 않도록 퍼징가스만 공급되는 것이 바람직하다.
본 발명에 따르면, 상기 제3공급라인에 공급되는 상기 퍼징가스의 유량은 제1 및 제2공급라인에 공급되는 상기 가스들의 30% 이하인 것이 바람직하다.
본 발명에 따르면, 상기 제1 및 제2공급라인의 중간영역에는 각각의 상기 모듈 조립면에 원주방향으로 형성된 반원형상의 제1 및 제2완충라인이 연결되어 있어 상기 제1 및 제2공급라인들로 공급되는 각각의 상기 가스가 상기 완충라인들의 내부공간에 합류되어 상기 스테이지부 내에 균일한 압력으로 공급되는 것이 바람직하며, 상기 제1 및 제2공급라인의 중간영역에는 각각의 상기 모듈 조립면에 상기 제1 및 제2공급라인의 직교방향으로 형성된 제1 및 제2완충라인이 연결되어 있어 상기 제1 및 제2공급라인들로 공급되는 각각의 상기 가스가 상기 완충라인들의 내부공간에 합류되어 상기 스테이지부 내에 균일한 압력으로 공급되는 것이 바람직하다.
본 발명에 따르면, 상기 제1완충라인과 제2완충라인 사이에는 제3완충라인이 더 형성되어 있어 상기 제1 및 제2완충라인에 합류되는 상기 가스들이 상기 모듈 조립면의 틈새로 흐르는 것을 차단하여 외부로 배기시키도록 된 것이 바람직하다.
본 발명에 따르면, 상기 반응용기는 티타늄, 알루미늄, 몰리브데늄, 텅스텐, 흑연, 베릴리아, 탄화규소, 질화규소 중 적어도 어느 하나 이상의 소재로 형성된 것이 바람직하다.
따라서, 본 발명에 따른 원자층 증착장치는 원자층 증착법을 적용한 것으로 복수매의 동일 기판에 양질의 박막 성질과 요철에서의 박막 균일도가 향상된 미세 패턴의 박막을 동시에 증착할 수 있기 때문에 단위 시간에 대한 증착효율을 크게 증진시켜 그에 따른 생산효율을 증가시키는 점에 그 특징이 있다.
원자층 증착법(Atomic Layer Deposition)이란 복잡한 조성의 물질을 저온에서 기판 상에 증착할 수 있는 공정으로서, 화학기상증착(CVD)처럼 화학반응을 사용하는 증착법이나 각각의 가스가 챔버 내에서 혼합되지 않고 한 개씩 펄스로 흘려진다는 점에서 화학기상증착법(CVD)과는 다르다.
예를 들어, 반응가스 A와 B를 사용하는 경우, 먼저 A가스만을 흘리면, 기판에 A가스의 원자가 화학적으로 흡착된다. 챔버에 잔류하는 A가스는 Ar이나 질소와 같은 불활성 가스로 퍼지시킨다. 다음에 B가스만을 흘리면, A와 B 간의 반응은 화학적으로 흡착된 A 가스가 있는 기판 표면에서만 일어나 원자층(atomic layer)의 박막이 증착된다.
따라서, 상기 원자층 증착법(ALD)을 적용하여 기판 상에 소정의 박막을 증착하는 경우, 어떠한 모폴로지(morphology)를 가진 기판 표면이라 하더라도 항상 100%의 단차도포 특성을 얻을 수 있다. 챔버에 잔류하는 B가스와 A, B 반응의 부산물을 퍼지시키고 다시 상기 공정을 반복함으로써 증착되는 박막의 두께를 두껍게 할 수 있다. 즉, 상기 공정들의 싸이클의 수에 따라 박막의 두께를 원자층 단위로 조절할 수 있다.
이하, 첨부된 도 3 내지 도 5를 참조하여 본 발명의 일 실시예에 따른 원자층 증착장치를 상세하게 설명한다.
도 3은 본 발명의 일 실시예에 따른 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치를 나타내 보인 개략적 단면도이고, 도 4 및 도 5는 도 3을 설명하기 위한 개략적 도면이다.
도 3을 참조하면, 본 발명의 일 실시예에 따른 원자층 증착장치는 원자층 증착법(ALD)이 적용가능하게 마련되는 것으로 소정의 공간을 가진 진공챔버(100)와, 상기 진공챔버(100) 내에 설치되며, 그 내부에 원형의 반도체 기판(201)이 복수층으로 각각 수용되는 반응용기(200a)를 구비한다.
이와 함께, 상기 반응용기(200a)와 대향되게 설치되는 것으로 각각의 반도체 기판(201) 상에 원자층으로 증착될 기체 즉, 반응가스와 상기 반응가스를 퍼지하기 위한 퍼징가스를 상기 반응용기(200a) 내로 공급하는 가스 공급부(200b)를 구비한다.
상기 반도체 기판(201)들은 베어(bare) 웨이퍼이거나, 임의의 막, 예를 들면 산화막과 같은 임의의 막이 형성되어 있는 것이거나, 또는 금속배선 공정 전의 장벽금속층을 형성하기 위하여 트랜지스터와 같은 개별소자가 그 표면에 이미 형성된 것일 수도 있다.
상기 진공챔버(100)는 반응용기(200a)에서 반응하고 남은 잔류가스를 펌핑하여 외부로 방출하기 위한 펌프(미도시)와 연결되어 있다.
상기 반응용기(200a)는 분리 가능한 다수의 모듈(210)들이 상보적으로 각각 조립되어 형성되며, 일측벽에 소정의 개구가 형성되어 있는 몸체부를 구비한다.
또한, 상기 몸체부 내에는 상기 모듈(210)들의 조합에 의해 공간적으로 구획되어 형성되며, 상기 개구를 통하여 그 내부 영역에 복수매 각각의 반도체 기판(201)이 한 장씩 수용되는 다수의 스테이지부(203)들이 마련되어 있다. 여기서, 상기 몸체부의 개구는 원형의 반도체 기판(201)들이 스테이지부(203)들 내로 용이하게 인입 및 인출될 수 있는 최소한의 크기를 가진다.
상기 몸체부의 상하부 즉, 상기 모듈(210)들의 최상부 및 최하부에는 스테이지부(203)들의 내부공간을 소정온도의 분위기로 유지시켜 각각의 반도체 기판(201)에 균일한 온도분포가 형성되도록 하는 히팅부재(205)가 삽입되어 있는데, 상기 히팅부재(205)는 삽입형 발열체가 구비된다.
상기와는 달리, 스테이지부(203)들의 내부공간을 소정온도의 분위기로 유지시켜 각각의 반도체 기판(201)에 균일한 온도분포가 형성되도록 하기 위한 다른 방법으로는 진공챔버(100)의 외부에 램프히터(미도시)를 설치하여, 램프의 빛을 진공챔버(100)의 수광창(미도시)을 통해 입사시킴으로써 반응용기(200a) 내의 스테이지부(203)들을 소정온도로 히팅할 수도 있다.
상기 몸체부를 구성하는 상기 모듈(210)들은 히팅부재(205)가 삽입되는 최상부의 제1모듈(210a)과, 복수매의 반도체 기판(201) 중 제1반도체기판(201a)이 장입되도록 상기 제1모듈(210a)과 상호 조립되어 제1스테이지부(203a)를 형성하는 제2모듈(210b)과, 제2반도체기판(201b)이 장입되도록 상기 제2모듈(210b)과 상호 조립되어 제2스테이지부(203b)를 형성하는 제3모듈(210c)과, 제3반도체기판(201c)이 장입되도록 상기 제3모듈(210c)과 상호 조립되어 제3스테이지부(203c)를 형성하는 제4모듈(210d)과, 히팅부재(205)가 삽입되며 제4반도체 기판(201d)이 장입되도록 상기 제4모듈(210d)과 상호 조립되어 제4스테이지부(203d)를 형성하는 최하부의 제5모듈(210e)로 구성된다.
도면에서는 상기 몸체부를 4 매의 반도체 기판(201)이 수용가능하도록 상기 모듈(210) 및 스테이지부(203)들을 구성하였으나, 본 발명에서는 반응용기(200a) 내의 균일한 온도 및 압력분포 그리고, 박막의 균일성을 고려한 범위 내에서 그 이상의 반도체 기판(201)을 수용할 수 있는 모듈(210) 및 스테이지부(203)들을 구성할 수 있다.
여기서, 상기 몸체부의 개구에 대향되는 스테이지부(203)들의 내측벽 즉, 모듈(210)들의 타측에 마련된 내측벽은 반도체 기판(201)의 인입측 형상에 대응되도록 반원의 형태를 가지고 있다.
상기 스테이지부(203)들의 바닥면에는 상기 반도체 기판(201)이 안착되는 안착부(207)가 원형 형상으로 각각 마련되어 있으며, 상기 안착부(207)에는 로봇과 같은 기판용 이송장치(209)가 스테이지부(203) 내로 용이하게 진입 및 후퇴가능하도록 그리고, 기판(201)의 저면에 반응가스의 원자층이 증착되지 않게 반응가스의 침투를 방지하기 위한 퍼징가스가 흐를 수 있는 최소한의 여유공간을 확보하도록 기판 장착용 홈(207a)이 형성되어 있다.
여기서, 상기 안착부(207)와 반도체 기판(201)과의 접촉면적은 기판(201)의 균일한 온도분포를 고려하여 가능한한 넓게 하는 것이 바람직하다.
또한, 상기 몸체부는, 가스 공급부(200b)와 상호 연결되어 가스 공급부(200b)로부터 공급되는 각각의 반응가스 및 퍼징가스를 스테이지부(203)들로 공급받을 수 있도록, 상기 모듈(210)들의 타측에 각각 형성되어 각각의 스테이지부(203)들과 연통되어 있는 다수의 가스 공급라인(211)을 구비한다. 상기 가스들은 상기 가스 공급라인(211)의 경로를 통해 스테이지부(203)들로 각각 주입된다. 그리고, 상기 스테이지부(203)들로 주입된 반응가스 및 퍼징가스는 상기 몸체부의 개구를 통해 반응용기(200a) 밖으로 배출되는 것이 바람직하다.
도 3 및 도 4를 참조하면, 상기 가스 공급라인(211)은 상기 모듈(210)들의 타측에 각각 형성되어 스테이지부(203)들의 상기 내측벽에 연통되는 제1 및 제2공급라인(211a)(211b)이 구비된다.
상기 제1공급라인(211a)에는 제1반응가스와, 퍼징가스가 교대로 주입되고, 상기 제2공급라인(211b)에는 제2반응가스와, 퍼징가스가 교대로 주입되어 그 경로들을 통해 반도체 기판(201)들의 상부로 공급된다.
상기 제1 및 제2공급라인(211a)(211b)들의 수는 스테이지부(203)들 내로 유입되는 반응가스들의 수에 의존하기 때문에, 도면에는 편의상 두 개의 공급라인(211a)(211b)들이 도시되어 있으나, 본 발명에서는 이에 한정되지 않는다.
이와 함께, 상기 가스 공급라인(211)은 상기 모듈(210b)(210c)(210d)(210e)의 타측에 각각 형성되어 상기 안착부(207)의 기판 장착용 홈(207a)에 연통되는 단일의 제3공급라인(211c)이 구비된다.
상기 제3공급라인(211c)에는 상기 퍼징가스 만이 그 경로를 통해 상기 홈(207a)으로 공급된다. 여기서, 상기 제3공급라인(211c)에 공급되는 퍼징가스는 제1 및 제2공급라인(211a)(211b)들을 통해 공급되는 제1 및 제2반응가스 보다 먼저 주입된다.
이러한 제1공급라인(211a)은 제1,2,3,4모듈(210a)(210b)(210c)(210d)의 하부에 각각 형성되는 것으로 단일의 제1주입구(A1)와, 스테이지부(203)들의 상기 내측벽 반원둘레에 나란히 형성된 다수개의 제1분사구(B1)들이 마련되어 있다.
그리고, 제2공급라인(211b)은 제2,3,4,5모듈(210b)(210c)(210d)(210e)의 상부에 각각 형성되는 것으로 상기 제1공급라인(211a)과 같이 단일의 제2주입구(A2)와, 스테이지부(203)들의 상기 내측벽 반원둘레에 나란히 형성된 다수개의 제2분사구(B2)들이 마련되어 있다.
도 5a를 참조하면, 상기 제1공급라인(211a) 각각의 중간영역에는 상기 모듈(210)들의 타측 조립면에 반원의 형태로 형성되며, 소정의 내부공간을 가진 제1완충라인(213)들이 연통되어 있다. 즉, 제1완충라인(213)들은 각 모듈(210)들의 조립에 의해 형성된다.
상기 제1완충라인(213)들은 제1공급라인(211a)의 제1주입구(A1)로 주입되는 제1반응가스들을 서로 합류시켜 균일한 분압으로 다수개의 제1분사구(B1)를 통해 각각의 스테이지부(203)들에 공급시킨다.
도 5b를 참조하면, 상기 제2공급라인(211b) 각각의 중간영역에는 상기 모듈(210)들의 타측 조립면에 반원의 형태로 형성되며, 소정의 내부공간을 가진 제2완충라인들(215)이 연통되어 있다. 즉, 제2완충라인(215)들 또한 제1완충라인(213)들과 같이 각 모듈(210)들의 조립에 의해 형성된다.
상기 제2완충라인(215)들은 제2공급라인(211a)들의 제2주입구(A2)로 주입되는 제2반응가스들을 서로 합류시켜 균일한 분압으로 다수개의 제2분사구(B2)를 통해 각각의 스테이지부(203)들에 공급시킨다.
상기와 같이, 제1 및 제2반응가스는 각각의 제1 및 제2주입구(A1)(A2)를 통해 반원의 형태를 가진 제1 및 제2완충라인(213)(215)들에 각각 합류되어 일정한 압력으로 상기 라인들에 연결된 각각의 제1 및 제2분사구(B1)(B2)들을 통해 개구쪽으로 분사되기 때문에, 원형의 반도체 기판(201)을 따라 균일한 가스분포를 가지게 된다.
그리고, 상술한 바와 같은 제1완충라인(213)들과 제2완충라인(215)들 사이에는 제1 및 제2완충라인(213)(215)들의 형태와 동일한 제3완충라인(217)이 더 형성되어 있는데, 이는 각각의 모듈(210)이 상호 조립되어 있기 때문에 각각의 제1 및 제2완충라인(213)(215)들을 따라 흐르는 제1 및 제2반응가스가 자체의 압력에 의해 모듈과 모듈 사이의 조립면 틈새로 흘러들어 가는 것을 차단하여 다른 반응가스들과 화학반응을 일으키지 않도록 하기 위해서이다. 즉, 상기 제3완충라인(217)들은 제1 및 제2완충라인(213)(215) 각각에서 흘러 들어온 유입가스를 개방되어 있는 라인 양단을 통해 배기시킬 수 있도록 형성된다.
다시, 도 3을 참조하면, 앞서 전술한 바와 같은 상기 제1완충라인(213)들은 제1,2,3,4모듈(210a)(210b)(210c)(210d)의 조립하단면에 각각 형성되며, 상기 제2완충라인(215)들은 제2,3,4,5모듈(210b)(210c)(210d)(210e)의 조립상단면에 각각 형성되고, 제3완충라인(217)들은 상기 제2완충라인(215)의 일측 조립상단면에 각각 형성된다.
한편, 상술한 바와 같은 상기 반응용기(200a)는 열전도도가 우수하고, 반응가스와 서로 반응이 일어나지 않으며, 공정상에 부적합한 영향을 미치지 않는 소재로 제조되는데, 티타늄(Titanium), 알루미늄(Aluminum), 몰리브데늄(Molibdenum), 텅스텐(Tungsten), 흑연(Graphite), 베릴리아(Belyllia), 탄화규소(Silicon carbide), 질화규소(Silicon nitride)의 소재 중 적어도 어느 하나 이상의 재질로 형성된다.
이하, 본 발명의 다른 실시예에 따른 원자층 증착장치를 첨부된 도 6 내지 도 8을 참조하여 상세하게 설명한다.
본 발명의 다른 실시예에 따른 원자층 증착장치는 앞서 설명한 일 실시예의 구성과 동일하므로, 일 실시예의 구성과 특징적으로 다른 요소들만을 간략하게 설명한다.
도 6은 본 발명의 다른 실시예에 따른 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치를 나타내 보인 개략적 단면도이고, 도 7 및 도 8는 도 6을 설명하기 위한 개략적 도면이다.
도 6 및 도 7을 참조하면, 지금까지 설명한 일 실시예와는 달리, 본 발명의 다른 실시예에 따른 원자층 증착장치는 반응용기(300a)의 내부에 사각 형상의 유리 기판(301)이 복수매 수용되도록 마련된다.
상기 반응용기(300a)는 분리 가능한 다수의 모듈(310)이 상보적으로 각각 조립되어 형성되며, 일측벽에 소정의 개구가 형성되어 있는 몸체부를 구비한다.
또한, 상기 몸체부 내에는 상기 모듈(310)들의 조합에 의해 공간적으로 구획되어 형성되며, 상기 개구를 통하여 그 내부 영역에 복수매 각각의 유리 기판(301)이 한 장씩 수용되는 다수의 스테이지부(303)들이 마련된다. 여기서, 상기 몸체부의 개구는 사각형상의 유리 기판(301)이 스테이지부(303)들 내로 용이하게 인입 및 인출될 수 있는 최소한의 크기를 가진다.
상기 유리 기판(301)은 일반적으로 그 크기가 다양한 디스플레이(Display) 제조용 기판(301)으로서 기판(301) 상에 원하는 소정의 박막을 증착하기 위해 구비된다.
각각의 상기 스테이지부(303)들은 상기 유리 기판(301)이 수용되도록 상기 기판의 형상에 대응되는 형상의 내부공간을 가지고 있으며, 그 공간의 바닥면에는 상기 유리 기판(301)이 안착되는 안착부(307)가 사각형상으로 마련되어 있고, 상기 안착부(307)에는 기판 장착용 홈(307a)이 하나 이상 형성되어 있는 점이 일 실시예와 다르다.
여기서, 몸체부의 개구에 대향되는 스테이지부(303)들의 내측벽 즉, 모듈(310)들의 타측에 마련된 내측벽은 유리 기판(301)의 인입측변과 평행되는 형상을 가진다.
그리고, 가스 공급라인은 제1,2,3,4모듈(310a)(310b)(310c)(310d)의 하부에 각각 형성되는 것으로 단일의 제1주입구(A1)와, 상기 스테이지부(303)들의 상기 내측벽에 나란히 형성된 다수개의 제1분사구(B1)가 마련되어 있는 제1공급라인(311a)과, 제2,3,4,5모듈(310b)(310c)(310d)(310e)의 상부에 각각 형성되는 것으로 상기 제1공급라인(311a)과 같이 단일의 제2주입구(A2)와, 상기 스테이지부(303)들의 상기 내측벽에 나란히 형성된 다수개의 제2분사구(B2)가 나란히 마련되어 있는 제2공급라인(311b)과, 제2,3,4,5모듈(310b)(310c)(310d)(310e)의 타측에 각각 형성되어 안착부(307)의 기판 장착용 홈(307a)에 연통되는 단일의 제3공급라인(311c)을 구비하는 점이 일 실시예와 다르다.
도 8a를 참조하면, 상기 제1공급라인(311a) 각각의 중간영역에는 상기 모듈(310)들의 타측 조립면에 제1공급라인(311a)의 직교방향으로 형성되어 소정의 내부공간을 가진 제1완충라인(313)이 연통되어 있는 점이 일 실시예와 다르다.
도 8b를 참조하면, 상기 제2공급라인(311b) 각각의 중간영역에는 상기 모듈(310)들의 타측 조립면에 제2공급라인(311a)의 직교방향으로 형성되어 소정의 내부공간을 가진 제2완충라인(315)이 연통되어 있는 점이 일 실시예와 다르다.
이와 함께, 상술한 바와 같은 제1완충라인(313)들과 제2완충라인(315)들 사이에는 제1 및 제2완충라인(313)(315)들의 형태와 동일한 제3완충라인(317)이 더 형성되어 있다.
상기와 같이, 제1 및 제2반응가스는 각각의 제1 및 제2주입구(A1)(A2)를 통해 제1 및 제2완충라인(313)(315)들에 합류되어 일정한 압력으로 유리 기판(301)의 인입 측변과 평행되는 형상을 가진 스테이지부(303)의 내측벽 즉, 상기 라인들에 각각 연결된 다수의 제1 및 제2분사구(B1)(B2)를 통해 개구쪽으로 분사되기 때문에, 사각형상의 유리 기판(301)을 따라 균일한 가스분포를 가지게 된다.
이와 같이 구성된 본 발명의 실시예들에 따른 원자층 증착장치의 동작 및 그 작용을 앞서 도시된 도면을 참조하여 상세하게 설명하기로 한다.
우선, 복수매의 기판(201)(301) 즉, 원형의 반도체 기판(201) 또는 사각형상의 유리 기판(301)들은 진공챔버(100) 내의 기판용 이송장치(209)(309)에 파지되어 몸체부의 개구를 통해 스테이지부(203)(303)들로 각각 한 장씩 장입된다. 이 때에, 상기 이송장치(209)(309)는 안착부(207)(307)의 홈(207a)(307a)으로 진입하여 기판(201)(301)들을 안착시키고, 다시 후퇴한다.
이와 같이, 기판(201)(301) 각각이 스테이지부(203)(303)들에 인입완료되면, 히팅부재(205)(305) 또는 램프히터(미도시)는 기판(201)(301)들에 균일한 온도분포가 형성되도록 스테이지부(203)(303)들의 내부 공간을 소정온도의 분위기로 유지시킨다.
이 후, 가스 공급부(200b)(300b)는 제1공급라인(211a)(311a)들을 통해 기판(201)(301) 상에 단 원자층으로 흡착될 제1반응가스를 스테이지부(203)(303)들의 내부로 일정시간 동안 공급한다. 이때에, 상기 제1공급라인(211a)(311a)들은 제1완충라인(213)(313)들과 상호 연통되어 있기 때문에 소정의 압력으로 가스 공급부(200b)(300b)로부터 단일의 제1주입구(A1)를 통해 주입되는 각각의 제1반응가스 내지 후술되는 퍼징가스는 제1완충라인(213)(313)들의 내부공간에 합류하게 된다.
상기 제1완충라인(213)(313)들의 내부공간에 합류된 제1반응가스 또는 후술되는 퍼징가스의 분압은 그 라인을 따라 흐르면서 일정한 압력으로 균일화되어 다수의 제1분사구(B1)들을 통해 스테이지부(203)(303)들에 각각 공급된다. 이는 각각의 기판(201)(301)에 균일한 압력의 반응가스 및 균일한 가스분포를 제공하여 균일한 박막을 증착시키기 위함이다.
이 후, 상기 제1반응가스는 기판(201)(301)들 상에 화학적으로 흡착되어 단 원자층으로 증착된다.
상술한 바와 같은 단 원자층의 증착이 완료되면, 가스 공급부(200b)(300b)는 각각의 상기 제1공급라인(211a)(311a)들을 통해 소정량의 퍼징가스를 상기 스테이지부(203)(303)들로 공급한다. 이러한 퍼징가스는 기판(201)(301)들의 상면을 흐르면서, 단 원자층으로 증착되고 남은 제1반응가스를 퍼지하여 상기 몸체부의 개구를 통해 스테이지부(203)(303) 밖으로 배출시킨다. 이렇게 배출된 상기 제1반응가스는 진공챔버(100)와 연결된 펌프(미도시)에 의해 외부로 방출된다.
이와 함께, 가스 공급부(200b)(300b)는 소정의 막질을 구성하는 제2반응가스를 제2공급라인(211b)(311b)들에 주입하여 스테이지부(203)(303)들의 내부로 공급한다. 이 때에도, 상기 제2공급라인(211b)(311b)들은 제2완충라인(215)(315)들과 상호 연통되어 있기 때문에 소정의 압력으로 가스 공급부(200b)(300b)로부터 제2주입구(A2)를 통해 주입되는 각각의 제2반응가스 또는 후술되는 퍼징가스는 제2완충라인(215)(315)들에 합류하게 된다.
상기 제2완충라인(215)(315)들 내에 합류된 제2반응가스들의 분압은 그 라인들을 따라 흐르면서 일정한 압력으로 균일화되어 다수의 제2분사구(B2)들을 통해 스테이지부(203)(303)들에 각각 공급된다. 그러면, 제2반응가스는 전술한 바와 같은 기판(201)(301)들에 흡착된 제1반응가스의 원자층 상을 흐른다.
그 후, 제1반응가스와 제2반응가스 간의 반응은 화학적으로 흡착된 제1반응가스가 있는 기판(201)(301)들의 표면에서만 일어나 원자층(atomic layer)의 박막이 증착된다.
이와 같은 상기 제1 및 제2반응가스는 기판(201)(301)들의 상면에 균일한 가스분포를 제공하기 위하여 그리고, 균일한 증착막을 형성하기 위하여 기판(201)(301)의 전면을 흐르도록 개구쪽으로 분사되어야 한다. 만일, 가스들이 기판(201)(301)들의 중심부를 향해 분사된다면, 기판(201)(301)에서의 증착막 균일도를 저하시키게 된다.
그 다음으로, 퍼징가스를 제2공급라인(211b)(311b)들로 공급하면, 퍼징가스는 스테이지부(203)(303)들을 퍼지하여 제1 및 제2반응가스의 반응 부산물을 몸체부의 개구를 통해 스테이지부(203)(303) 밖으로 배출시키게 된다.
이에 앞서, 상기 가스 공급부(200b)(300b)는 제1 및 제2반응가스를 제1 및 제2공급라인(211a,311a)(211b,311b)들로 공급하기 전에 비활성기체인 퍼징가스를 제3공급라인(211c)(311c)들을 통해 기판(201)(301)들의 하측으로 먼저 공급한다.
이러한 퍼징가스는 이송장치(209)(309)의 이동경로인 안착부(207)(307)의 홈(207a)(307a)으로 흐르게 된다. 이렇게 퍼징가스가 기판(201)(301)들의 하부로 흐르게 되면, 상기 퍼징가스는 기판(201)(301)들의 하측 즉, 기판 장착용 홈(207a)(307a)으로 침투하는 제1 및 제2반응가스를 퍼지하여 기판(201)(301)들의 저면에 박막이 증착되지 않게 한다.
이를 보다 자세히 설명하면, 제1 및 제2반응가스가 기판(201)(301) 상부를 각각 흐르고 있을 때, 퍼징가스를 항상 기판(201)(301)들의 하측으로 먼저 흘려주면, 이송장치(209)(309)의 이동경로인 기판 장착용 홈(207a)(307a)을 통해 기판(201)(301)의 저면으로 침투하는 제1 반응가스 또는 제2반응가스는 상기 퍼징가스에 의해 퍼지되어 스테이지부(203)(303) 밖으로 배출된다. 이 때에, 상기 퍼징가스는 기판(201)(301)들의 상부로 투입되는 제1반응가스, 제2반응가스 및 퍼징가스의 각각에 대하여 30% 비율의 유량을 가지도록 하여 반응용기(200a)(300a) 내의 압력변화에 영향을 미치지 않도록해야 한다. 이와 더불어, 상기 퍼징가스는 반도체 기판(201)(301)들에 형성되는 열 분포를 균일하게 할 뿐만 아니라, 기판(201)(301)들의 온도구배를 작게 하는 역할을 한다.
한편, 본 발명에 따른 원자층 증착장치의 반응용기(200a)(300a) 특히, 제1 및 제2완충라인(213,313)(215,315)들은 각각의 모듈(210)(310)들이 조립되어 마련되기 때문에 만약, 반응용기(200a)(300a)가 미세한 가공오차를 가지고 조립된 경우에는 제1 및 제2반응가스가 스테이지부(203)(303)들로 공급되는 중에 각각의 제1 및 제2완충라인(213,313)(215,315)들에 합류되면서, 가스들의 자체압력에 의해 조립면에 형성된 미세한 틈새로 흘러들어가게 된다. 그러면, 각각의 반응가스는 제1 및 제2완충라인(213,313)(215,315)들에서 서로 섞이어 화학적 반응을 일으키게 된다. 이러한 경우에 있어서, 제1 및 제2완충라인(213,313)(215,315)들 사이에 형성된 제3완충라인(217)(317)들은 제1 및 제2완충라인(213,313)(215,315)들로부터 각 모듈(210)(310)들의 조립면 틈새로 흘러들어온 반응가스를 차단하여 그 라인의 양단을 통해 외부로 배출시킨다.
이와 같은 일련의 작용을 통해, 본 발명의 실시예들에 따른 원자층 증착장치는 원자층 증착법(ALD)을 적용하여 반도체 기판이나, 디스플레이 제조용 유리 기판에 원하는 미세 패턴과, 원하는 두께의 박막 그리고, 요철에서의 박막 균일도를 동시에 구현할 수 있게 되어 종래와는 달리, 단위 시간당의 박막 증착효율을 크게 증가시킬 수 있다.
또한, 본 발명의 실시예에서는 이원계 물질의 박막형성만을 설명하였으나, 삼원계 물질뿐만 아니라 더욱 복잡한 조성을 갖는 물질층도 증착이 가능하다.
이상에서의 설명에서와 같이, 본 발명에 따른 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치는 복수매의 동일 기판에 양질의 박막 성질과, 원하는 미세 패턴의 박막을 동시에 증착할 수 있기 때문에 단위 시간에 대한 증착효율을 크게 증진시켜 생산효율을 향상시키는 점에 그 장점이 있다.

Claims (18)

  1. 원자층 증착법을 적용하여 미세한 패턴의 박막을 형성하도록 된 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치에 있어서,
    진공챔버와, 상기 진공챔버 내에 설치되는 것으로 그 내부에 복수매의 기판이 수용되는 반응용기와, 상기 반응용기와 상호 대향되게 설치되어 반응가스 및 퍼징가스를 상기 반응용기 내로 공급하는 가스 공급부를 구비하며,
    상기 반응용기는,
    분리 가능한 다수의 모듈이 상보적으로 각각 조립되어 마련되는 것으로 일측에 개구가 형성되어 있는 몸체부;
    상기 모듈들의 조합에 의해 상기 몸체부 내에 공간적으로 구획되어 형성되며, 상기 개구를 통하여 그 내부영역에 상기 기판이 각각 수용되는 다수의 스테이지부; 및
    상기 모듈들의 타측에 각각 형성되어 상기 스테이지부들과 연통되는 것으로 상기 가스 공급부로부터 공급되는 상기 가스들이 그 경로를 통해 상기 스테이지부들로 주입되는 다수의 가스 공급라인;을 구비하는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  2. 제1항에 있어서,
    상기 반응가스 및 퍼징가스는 상기 가스 공급라인으로 공급되어 상기 개구를 통해 배출되는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  3. 제1항에 있어서,
    상기 모듈의 최상부 및 최하부에 히팅부재가 장착되어 있는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  4. 제3항에 있어서,
    상기 히팅부재는 삽입형 발열체인 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  5. 제1항에 있어서,
    상기 반응용기는 상기 진공챔버의 외부에서 발하는 램프히터에 의해 소정 온도의 분위기로 유지되는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  6. 제1항에 있어서,
    상기 스테이지부는 원형의 반도체 기판이 수용되며, 상기 반도체 기판의 형상에 대응되는 내부공간이 마련된 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  7. 제1항에 있어서,
    상기 스테이지부는 사각형상의 유리 기판이 수용되며, 상기 유리 기판의 형상에 대응되는 내부공간이 마련된 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  8. 제6항에 있어서,
    상기 스테이지부의 바닥면에,
    상기 반도체 기판이 안착되는 원형의 안착부가 마련되며, 상기 안착부에는 기판 장착용 홈이 형성된 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  9. 제7항에 있어서,
    상기 스테이지부의 바닥면에,
    상기 유리 기판이 안착되는 사각형상의 안착부가 마련되며, 상기 안착부에는 기판 장착용 홈이 형성된 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  10. 제1항에 있어서,
    상기 가스 공급라인은,
    상기 모듈들의 타측에 각각 형성되어 상기 스테이지부의 내측벽에 연통되는 제1 및 제2공급라인과,
    상기 모듈들의 타측에 각각 형성되어 상기 안착부의 홈에 연통되는 단일의 제3공급라인이 마련되는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  11. 제6항 또는 제10항에 있어서,
    상기 제1 및 제2공급라인은,
    단일의 주입구와, 상기 반도체 기판의 인입측 형상에 대응되는 상기 스테이지부의 내측벽에 형성된 다수개의 분사구가 마련되어 있는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  12. 제7항 또는 제10항에 있어서,
    상기 제1 및 제2공급라인은,
    단일의 주입구와, 상기 유리 기판의 인입측 형상에 대응되는 상기 스테이지부의 내측벽에 형성된 다수개의 분사구가 마련되어 있는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  13. 제10항에 있어서,
    상기 제1 및 제2공급라인에는 각각의 상기 반응가스 및 퍼징가스가 교대로 공급되며, 상기 제3공급라인에는 상기 기판의 온도분포를 균일하게 하고, 상기 기판의 저면에 상기 반응가스에 의한 박막이 증착되지 않도록 퍼징가스만 공급되는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  14. 제10항에 있어서,
    상기 제3공급라인에 공급되는 상기 퍼징가스의 유량은 제1 및 제2공급라인에 공급되는 상기 가스들의 30% 이하인 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  15. 제11항에 있어서,
    상기 제1 및 제2공급라인의 중간영역에는,
    각각의 상기 모듈 조립면에 원주방향으로 형성된 반원형상의 제1 및 제2완충라인이 연결되어 있어 상기 제1 및 제2공급라인들로 공급되는 각각의 상기 가스가 상기 완충라인들의 내부공간에 합류되어 상기 스테이지부 내에 균일한 압력으로 공급되는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  16. 제12항에 있어서,
    상기 제1 및 제2공급라인의 중간영역에는,
    각각의 상기 모듈 조립면에 상기 제1 및 제2공급라인의 직교방향으로 형성된 제1 및 제2완충라인이 연결되어 있어 상기 제1 및 제2공급라인들로 공급되는 각각의 상기 가스가 상기 완충라인들의 내부공간에 합류되어 상기 스테이지부 내에 균일한 압력으로 공급되는 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  17. 제15항 또는 제16항에 있어서,
    상기 제1완충라인과 제2완충라인 사이에는 제3완충라인이 더 형성되어 있어 상기 제1 및 제2완충라인에 합류되는 상기 가스들이 상기 모듈 조립면의 틈새로 흐르는 것을 차단하여 외부로 배기시키도록 된 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
  18. 제1항에 있어서,
    상기 반응용기는 티타늄, 알루미늄, 몰리브데늄, 텅스텐, 흑연, 베릴리아, 탄화규소, 질화규소 중 적어도 어느 하나 이상의 소재로 형성된 것을 특징으로 하는 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치.
KR1019990015805A 1999-05-01 1999-05-01 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치 KR100347379B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1019990015805A KR100347379B1 (ko) 1999-05-01 1999-05-01 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US09/390,710 US6042652A (en) 1999-05-01 1999-09-07 Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
JP11268198A JP2000319772A (ja) 1999-05-01 1999-09-22 複数枚の基板に薄膜を蒸着可能な原子層蒸着装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990015805A KR100347379B1 (ko) 1999-05-01 1999-05-01 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치

Publications (2)

Publication Number Publication Date
KR20000017688A true KR20000017688A (ko) 2000-04-06
KR100347379B1 KR100347379B1 (ko) 2002-08-07

Family

ID=19583445

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990015805A KR100347379B1 (ko) 1999-05-01 1999-05-01 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치

Country Status (3)

Country Link
US (1) US6042652A (ko)
JP (1) JP2000319772A (ko)
KR (1) KR100347379B1 (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100331964B1 (ko) * 2000-06-08 2002-04-10 김경균 원자층 증착 설비 및 이를 이용한 원자층 증착 방법
KR100390830B1 (ko) * 2000-12-22 2003-07-10 주식회사 하이닉스반도체 원자층 박막 증착장치 및 방법
KR100407507B1 (ko) * 2001-05-18 2003-12-01 주식회사 피에스티 원자층 증착장치의 가스 분사장치
KR100417893B1 (ko) * 2001-05-31 2004-02-11 삼성전자주식회사 원자층 적층을 이용한 박막 형성 방법
KR100422577B1 (ko) * 2001-06-30 2004-03-12 주식회사 하이닉스반도체 원자층증착법에 의한 산화물박막의 형성 방법
KR100474971B1 (ko) * 2002-09-14 2005-03-10 주식회사 아이피에스 플로우타입 박막증착장치 및 그에 채용되는 인젝터 어셈블리
KR100813367B1 (ko) * 2002-04-05 2008-03-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 처리관
KR20130074704A (ko) * 2011-12-26 2013-07-04 엘지이노텍 주식회사 증착 장치
KR101589638B1 (ko) 2015-11-03 2016-01-28 김동우 죽염 제조방법

Families Citing this family (585)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
FI972874A0 (fi) * 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
KR100382149B1 (ko) 2000-11-30 2003-05-09 한국전자통신연구원 스트론튬 탄탈륨 산화물 박막 형성 방법
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003038145A2 (en) * 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
CN100349314C (zh) * 2002-01-03 2007-11-14 尼电源系统公司 其上具有共形导电层的多孔燃料电池电极结构
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
WO2003092166A1 (en) * 2002-04-25 2003-11-06 Kashya Israel Ltd. An apparatus for continuous compression of large volumes of data
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
EP1616043B1 (en) * 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050045092A1 (en) * 2003-09-03 2005-03-03 Taiwan Semiconductor Manufacturing Co. Method of multi-element compound deposition by atomic layer deposition for IC barrier layer applications
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
TWI373583B (en) * 2003-10-17 2012-10-01 Sundew Technologies Llc Fail safe pneumatically actuated valve with fast time response and adjustable conductance
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
DE102004030138A1 (de) * 2004-06-22 2005-12-08 Infineon Technologies Ag Vorrichtung und Verfahren zur Abscheidung von atomaren Schichten aus Precursorverbindungen
US8202575B2 (en) 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7407892B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Deposition methods
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
FI121750B (fi) * 2005-11-17 2011-03-31 Beneq Oy ALD-reaktori
US8060713B1 (en) 2005-12-21 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Consolidating snapshots in a continuous data protection system using journaling
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US7849361B2 (en) * 2005-12-22 2010-12-07 Emc Corporation Methods and apparatus for multiple point in time data access
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
CN101479834B (zh) * 2006-06-30 2011-06-08 应用材料股份有限公司 纳米结晶形成
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080119098A1 (en) * 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
US7958372B1 (en) 2007-12-26 2011-06-07 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to convert a logical unit from a first encryption state to a second encryption state using a journal in a continuous data protection environment
US7860836B1 (en) 2007-12-26 2010-12-28 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to recover data in a continuous data protection environment using a journal
US8041940B1 (en) 2007-12-26 2011-10-18 Emc Corporation Offloading encryption processing in a storage area network
US7840536B1 (en) 2007-12-26 2010-11-23 Emc (Benelux) B.V., S.A.R.L. Methods and apparatus for dynamic journal expansion
KR101431197B1 (ko) 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US9501542B1 (en) 2008-03-11 2016-11-22 Emc Corporation Methods and apparatus for volume synchronization
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US8108634B1 (en) 2008-06-27 2012-01-31 Emc B.V., S.A.R.L. Replicating a thin logical unit
US7719443B1 (en) 2008-06-27 2010-05-18 Emc Corporation Compressing data in a continuous data protection environment
CN102047387B (zh) * 2008-06-30 2012-07-04 S.O.I.Tec绝缘体上硅技术公司 模块化的cvd反应器子系统、其配置方法和独立功能模块
US8060714B1 (en) 2008-09-26 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Initializing volumes in a replication system
US7882286B1 (en) 2008-09-26 2011-02-01 EMC (Benelux)B.V., S.A.R.L. Synchronizing volumes for replication
US8020398B2 (en) * 2008-10-02 2011-09-20 Varian Semiconductor Equipment Associates, Inc. Fluid delivery mechanism for vacuum wafer processing system
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2524067A1 (en) * 2010-01-14 2012-11-21 Oerlikon Solar AG, Trübbach Mounting for fixing a reactor in a vacuum chamber
US8392680B1 (en) 2010-03-30 2013-03-05 Emc International Company Accessing a volume in a distributed environment
US8433869B1 (en) 2010-09-27 2013-04-30 Emc International Company Virtualized consistency group using an enhanced splitter
US8478955B1 (en) 2010-09-27 2013-07-02 Emc International Company Virtualized consistency group using more than one data protection appliance
US8335771B1 (en) 2010-09-29 2012-12-18 Emc Corporation Storage array snapshots for logged access replication in a continuous data protection system
US8694700B1 (en) 2010-09-29 2014-04-08 Emc Corporation Using I/O track information for continuous push with splitter for storage device
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8335761B1 (en) 2010-12-02 2012-12-18 Emc International Company Replicating in a multi-copy environment
US20120225206A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP6007897B2 (ja) * 2011-04-11 2016-10-19 日亜化学工業株式会社 半導体発光素子及びその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
TWI461566B (zh) 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9256605B1 (en) 2011-08-03 2016-02-09 Emc Corporation Reading and writing to an unexposed device
US8898112B1 (en) 2011-09-07 2014-11-25 Emc Corporation Write signature command
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101696354B1 (ko) * 2011-11-22 2017-01-23 피코순 오와이 뱃치의 기판들을 처리하기 위한 원자층 퇴적 반응기 및 그 방법
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9223659B1 (en) 2012-06-28 2015-12-29 Emc International Company Generating and accessing a virtual volume snapshot in a continuous data protection system
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10235145B1 (en) 2012-09-13 2019-03-19 Emc International Company Distributed scale-out replication
US9336094B1 (en) 2012-09-13 2016-05-10 Emc International Company Scaleout replication of an application
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101478151B1 (ko) * 2012-11-29 2014-12-31 주식회사 엔씨디 대면적 원자층 증착 장치
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9696939B1 (en) 2013-03-14 2017-07-04 EMC IP Holding Company LLC Replicating data using deduplication-based arrays using network-based replication
US9383937B1 (en) 2013-03-14 2016-07-05 Emc Corporation Journal tiering in a continuous data protection system using deduplication-based storage
US9110914B1 (en) 2013-03-14 2015-08-18 Emc Corporation Continuous data protection using deduplication-based storage
US8996460B1 (en) 2013-03-14 2015-03-31 Emc Corporation Accessing an image in a continuous data protection using deduplication-based storage
US9152339B1 (en) 2013-03-15 2015-10-06 Emc Corporation Synchronization of asymmetric active-active, asynchronously-protected storage
US9081842B1 (en) 2013-03-15 2015-07-14 Emc Corporation Synchronous and asymmetric asynchronous active-active-active data access
US9244997B1 (en) 2013-03-15 2016-01-26 Emc Corporation Asymmetric active-active access of asynchronously-protected data storage
KR101507557B1 (ko) * 2013-04-25 2015-04-07 주식회사 엔씨디 대면적 기판용 수평형 원자층 증착장치
US9069709B1 (en) 2013-06-24 2015-06-30 Emc International Company Dynamic granularity in data replication
US9087112B1 (en) 2013-06-24 2015-07-21 Emc International Company Consistency across snapshot shipping and continuous replication
US9146878B1 (en) 2013-06-25 2015-09-29 Emc Corporation Storage recovery from total cache loss using journal-based replication
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
DE102013219213A1 (de) * 2013-09-24 2015-03-26 Osram Gmbh Prozesskammer für einen chemischen Reaktionsbeschichtungsprozess und Verfahren zum Beschichten eines optischen Objekts mittels eines chemischen Reaktionsbeschichtungsprozesses
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103668119B (zh) * 2013-10-31 2016-06-22 无锡迈纳德微纳技术有限公司 一种硅酸盐类荧光粉及其表面包覆氧化物隔膜薄膜的装置和工艺
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US9367260B1 (en) 2013-12-13 2016-06-14 Emc Corporation Dynamic replication system
US9405765B1 (en) 2013-12-17 2016-08-02 Emc Corporation Replication of virtual machines
US9158630B1 (en) 2013-12-19 2015-10-13 Emc Corporation Testing integrity of replicated storage
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9189339B1 (en) 2014-03-28 2015-11-17 Emc Corporation Replication of a virtual distributed volume with virtual machine granualarity
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9274718B1 (en) 2014-06-20 2016-03-01 Emc Corporation Migration in replication system
US10082980B1 (en) 2014-06-20 2018-09-25 EMC IP Holding Company LLC Migration of snapshot in replication system using a log
US9619543B1 (en) 2014-06-23 2017-04-11 EMC IP Holding Company LLC Replicating in virtual desktop infrastructure
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10437783B1 (en) 2014-09-25 2019-10-08 EMC IP Holding Company LLC Recover storage array using remote deduplication device
US10101943B1 (en) 2014-09-25 2018-10-16 EMC IP Holding Company LLC Realigning data in replication system
US10324798B1 (en) 2014-09-25 2019-06-18 EMC IP Holding Company LLC Restoring active areas of a logical unit
US9910621B1 (en) 2014-09-29 2018-03-06 EMC IP Holding Company LLC Backlogging I/O metadata utilizing counters to monitor write acknowledgements and no acknowledgements
US9529885B1 (en) 2014-09-29 2016-12-27 EMC IP Holding Company LLC Maintaining consistent point-in-time in asynchronous replication during virtual machine relocation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10496487B1 (en) 2014-12-03 2019-12-03 EMC IP Holding Company LLC Storing snapshot changes with snapshots
US9600377B1 (en) 2014-12-03 2017-03-21 EMC IP Holding Company LLC Providing data protection using point-in-time images from multiple types of storage devices
US9405481B1 (en) 2014-12-17 2016-08-02 Emc Corporation Replicating using volume multiplexing with consistency group file
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9632881B1 (en) 2015-03-24 2017-04-25 EMC IP Holding Company LLC Replication of a virtual distributed volume
US9411535B1 (en) 2015-03-27 2016-08-09 Emc Corporation Accessing multiple virtual devices
US10296419B1 (en) 2015-03-27 2019-05-21 EMC IP Holding Company LLC Accessing a virtual device using a kernel
KR102337670B1 (ko) 2015-03-30 2021-12-09 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 박막 증착 방법
US9678680B1 (en) 2015-03-30 2017-06-13 EMC IP Holding Company LLC Forming a protection domain in a storage architecture
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10853181B1 (en) 2015-06-29 2020-12-01 EMC IP Holding Company LLC Backing up volumes using fragment files
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN105132886B (zh) * 2015-09-11 2018-03-23 兰州空间技术物理研究所 一种改善管状基底内表面沉积薄膜均匀性的方法
KR101760316B1 (ko) * 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN108028193B (zh) * 2015-09-30 2022-04-22 东京毅力科创株式会社 基板处理装置和基板处理方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9684576B1 (en) 2015-12-21 2017-06-20 EMC IP Holding Company LLC Replication using a virtual distributed volume
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US10133874B1 (en) 2015-12-28 2018-11-20 EMC IP Holding Company LLC Performing snapshot replication on a storage system not configured to support snapshot replication
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10067837B1 (en) 2015-12-28 2018-09-04 EMC IP Holding Company LLC Continuous data protection with cloud resources
US10235196B1 (en) 2015-12-28 2019-03-19 EMC IP Holding Company LLC Virtual machine joining or separating
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10235087B1 (en) 2016-03-30 2019-03-19 EMC IP Holding Company LLC Distributing journal data over multiple journals
US10579282B1 (en) 2016-03-30 2020-03-03 EMC IP Holding Company LLC Distributed copy in multi-copy replication where offset and size of I/O requests to replication site is half offset and size of I/O request to production volume
US10152267B1 (en) 2016-03-30 2018-12-11 Emc Corporation Replication data pull
US10235060B1 (en) 2016-04-14 2019-03-19 EMC IP Holding Company, LLC Multilevel snapshot replication for hot and cold regions of a storage system
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US20170314129A1 (en) * 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10235090B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Validating replication copy consistency using a hash function in a storage system
US10235091B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Full sweep disk synchronization in a storage system
US10210073B1 (en) 2016-09-23 2019-02-19 EMC IP Holding Company, LLC Real time debugging of production replicated data with data obfuscation in a storage system
US10146961B1 (en) 2016-09-23 2018-12-04 EMC IP Holding Company LLC Encrypting replication journals in a storage system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI620830B (zh) * 2016-12-30 2018-04-11 Nat Chung Shan Inst Science & Tech Batch coating process system
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112466794B (zh) * 2020-11-24 2021-12-03 长江存储科技有限责任公司 薄膜沉积装置及晶舟组件
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6163925A (ja) * 1984-09-04 1986-04-02 Denki Kagaku Kogyo Kk 磁気デイスクの保護膜製造装置
JPS61190948A (ja) * 1985-02-20 1986-08-25 Hitachi Micro Comput Eng Ltd 膜形成装置
JPS6316617A (ja) * 1986-07-09 1988-01-23 Hitachi Ltd 気相成長装置
JPH01296613A (ja) * 1988-05-25 1989-11-30 Nec Corp 3−v族化合物半導体の気相成長方法
KR920010061B1 (ko) * 1989-04-14 1992-11-13 삼성전기 주식회사 진공증착기의 증착기판 가열장치
JPH03142823A (ja) * 1989-10-27 1991-06-18 Fujitsu Ltd 気相成長装置
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6083321A (en) * 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100331964B1 (ko) * 2000-06-08 2002-04-10 김경균 원자층 증착 설비 및 이를 이용한 원자층 증착 방법
KR100390830B1 (ko) * 2000-12-22 2003-07-10 주식회사 하이닉스반도체 원자층 박막 증착장치 및 방법
KR100407507B1 (ko) * 2001-05-18 2003-12-01 주식회사 피에스티 원자층 증착장치의 가스 분사장치
KR100417893B1 (ko) * 2001-05-31 2004-02-11 삼성전자주식회사 원자층 적층을 이용한 박막 형성 방법
KR100422577B1 (ko) * 2001-06-30 2004-03-12 주식회사 하이닉스반도체 원자층증착법에 의한 산화물박막의 형성 방법
KR100813367B1 (ko) * 2002-04-05 2008-03-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 처리관
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
KR100474971B1 (ko) * 2002-09-14 2005-03-10 주식회사 아이피에스 플로우타입 박막증착장치 및 그에 채용되는 인젝터 어셈블리
KR20130074704A (ko) * 2011-12-26 2013-07-04 엘지이노텍 주식회사 증착 장치
KR101589638B1 (ko) 2015-11-03 2016-01-28 김동우 죽염 제조방법

Also Published As

Publication number Publication date
US6042652A (en) 2000-03-28
KR100347379B1 (ko) 2002-08-07
JP2000319772A (ja) 2000-11-21

Similar Documents

Publication Publication Date Title
KR100347379B1 (ko) 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
KR100558922B1 (ko) 박막 증착장치 및 방법
CN108206151B (zh) 基板处理设备
US10280509B2 (en) Lid assembly for a processing system to facilitate sequential deposition techniques
US6902620B1 (en) Atomic layer deposition systems and methods
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7923069B2 (en) Multi-station deposition apparatus and method
US7422635B2 (en) Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7648578B1 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
KR101151192B1 (ko) 혼합 화학 프로세스를 위한 장치 및 방법
US6579372B2 (en) Apparatus and method for depositing thin film on wafer using atomic layer deposition
US7601223B2 (en) Showerhead assembly and ALD methods
TWI222677B (en) Treatment device of substrate
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
US20080241384A1 (en) Lateral flow deposition apparatus and method of depositing film by using the apparatus
WO2000079019A1 (en) Apparatus for atomic layer chemical vapor deposition
JP2002053965A (ja) 薄膜蒸着用反応容器
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
JP2000212752A (ja) 反応チャンバガス流入方法及びそれに用いるシャワ―ヘッド
KR101635085B1 (ko) 박막증착장치
KR100422398B1 (ko) 박막 증착 장비
TW202109798A (zh) 用於供應氣體的裝置及使用其處理基板的裝置
KR101670494B1 (ko) 화학기상증착장치
WO2004049413A1 (en) Apparatus for depositing thin film on wafer
KR20230137714A (ko) 증착장치 및 증착장치를 이용한 증착방법

Legal Events

Date Code Title Description
A201 Request for examination
G15R Request for early publication
E902 Notification of reason for refusal
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130530

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140704

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee