KR102567794B1 - 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법 - Google Patents

쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법 Download PDF

Info

Publication number
KR102567794B1
KR102567794B1 KR1020170086151A KR20170086151A KR102567794B1 KR 102567794 B1 KR102567794 B1 KR 102567794B1 KR 1020170086151 A KR1020170086151 A KR 1020170086151A KR 20170086151 A KR20170086151 A KR 20170086151A KR 102567794 B1 KR102567794 B1 KR 102567794B1
Authority
KR
South Korea
Prior art keywords
film
filled
trench
gas
precursor
Prior art date
Application number
KR1020170086151A
Other languages
English (en)
Other versions
KR20180005630A (ko
Inventor
아츠키 후카자와
히데아키 후쿠다
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20180005630A publication Critical patent/KR20180005630A/ko
Priority to KR1020230105421A priority Critical patent/KR20230121715A/ko
Application granted granted Critical
Publication of KR102567794B1 publication Critical patent/KR102567794B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

쉼 또는 보이드가 없이 기판의 트렌치에 충전된 막을 형성하는 방법은, 상기 트렌치가 쉼 및/또는 보이드를 갖는 충전된 막으로 작용하는 컨포멀 SiN 막으로 충전될 때까지 할라이드 화합물을 전구체로 사용하여, 반응 공간 내에 배치된 기판의 트렌치 내에 컨포멀(conformal) SiN 막을 퇴적하는 단계; 및 이어서, 상기 충전된 막의 쉼 및/또는 보이드가 감소될 때까지 상기 충전된 막을 팽창시키기 위해 상기 충전된 막을 막의 퇴적 없이 산화시키는 단계를 포함한다.

Description

쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법{Method for forming film filled in trench without seam or void}
[0001] 본 발명은 일반적으로 쉼(seam) 및/또는 보이드(void)가 없이 반도체 기판의 트렌치에 충전된 막을 형성하는 방법에 관한 것이다.
[0002] 막 충전 기술로서, 액을 도포하는 스핀 법과 막의 유동성을 이용한 유동성 CVD 법이 일반적으로 사용되고 있다. 이들 방법들은 액체의 표면 장력을 이용하기 때문에 충전성이 우수하다. 그러나, 어떤 경우에는, 패턴의 치수들에 따라 충전된 막의 양이 변하기 때문에 "패턴 로딩 효과 (pattern-loading effect)"라는 문제가 발생한다. 또한, 이들 방법들에서는, 트렌치 내에 막을 충전한 후, 어닐링에 의해 충전된 막을 경화시키는 공정이 필요하다. 어닐링 공정에서, 패턴이 나노 미터 오더의 크기 일 때 막 수축으로 인해 상기 충전된 막이 수축되어 패턴이 변형되거나 붕괴되는 경향이 있다(상기 막이 자립(self-standing) 막으로 사용될 때). 또한, 충전된 막이 트렌치에 충전된 후 표면 장력으로 인해 다른 그루브들(grooves)로 이동할 때 막의 변형이 일어나는 경우가 있는데,이 때 막의 이동은 패턴에 응력을 가하여 패턴의 변형 또는 파괴를 일으킨다.
[0003] 뛰어난 스텝 커버리지를 제공하는 원자층 퇴적(ALD)을 이용한 막 충전 기술도 이용 가능하다. 그러나, ALD는 트렌치 패턴의 표면 상에 균일하게 컨포멀(conformal) 막을 퇴적하기 때문에, 트렌치 상부의 쉼(seam) 및 트렌치 내부의 보이드가 필연적으로 생성된다. 쉼 및/또는 보이드는 종종 집적 회로의 제조에 문제를 야기하기 때문에, 이러한 문제를 해결할 수 있는 새로운 기술이 필요하다.
[0004] 관련 기술과 관련하여 문제들 및 해결책들에 대한 어떠한 논의도 본 발명에 대한 맥락을 제공하기 위한 목적으로만 본 개시 내용에 포함되어 있으며, 논의의 일부 또는 전부가 본 발명이 이루어진 때에 공지였음을 인정하는 것으로 받아들여서는 안된다.
본 발명이 해결하고자 하는 과제는 쉼 또는 보이드 없이 트렌치 내에 충전된 막을 형성하는 방법을 제공하는 데 있다.
[0005] 일부 실시 예들에서, 액체 형태의 막을 사용하여 매립된 막을 형성하는 종래의 방법과는 달리, 액체 형태의 막을 사용하지 않고 SiN 막이 원자층 퇴적(ALD)에 의해 매립된 막으로서 퇴적되고, ALD의 사용에도 불구하고 트렌치에 채워진 막에는 심각한 쉼이 없으며, 예를 들어 단순하게 ALD로 형성된 매립 막과 비교하여 쉼이 크게 감소된다. 컨포멀 막은 트렌치의 측벽과 트렌치의 바닥을 따라, 그리고 트렌치를 둘러싸는 상부 표면을 따라 ALD에 의해 퇴적되고 트렌치를 채우기 때문에, 쉼은 컨포멀 막들의 가장자리들이 만나는 상기 트렌치의 중심 근처의 충전된 막 상에 필연적으로 불가피하게 형성된다. 또한 보이드도 흔히 상기 충전된 막 내에 불가피하게 형성된다. 일부 실시 예들에서, 트렌치에 채워진 SiN 막은 Si-N 결합들이 Si-O 결합들로 대체되는 치환 반응을 거치며, 막의 팽창을 일으켜 충전된 막의 상부에 형성된 쉼을 감소시킨다.
일부 실시 예들에서, 막의 팽창 정도는 전구체로서 할로겐-함유 실란(예를 들어, 모노실란, 디실란, 트리실란), 할로겐-탄화수소-함유 실란(예를 들어, 모노실란, 디실란, 트리실란), 할로겐-함유 실릴아민 또는 할로겐-탄화수소-함유 실릴아민을 사용하여 제어된다. 바람직하게는, 탄화수소를 함유하는 할라이드 전구체가 트렌치 패턴의 변형을 야기하는 과-팽창을 억제하는데 사용된다. 탄화수소를 함유하는 할라이드 전구체를 사용하여 매립 막을 형성한 후, Si-N 결합들을 Si-O 결합들로 대체하기 위해 산화시킨 후, 막 중에 존재하는 탄화수소의 일부는 산화 중에 OH 결합들을 함유하는 불순물로서 분리되어, 상기 불순물들의 분리의 결과로서 상기 막의 수축에 의해 상기 막의 팽창을 감소시킨다. 예를 들어, 전구체가 탄화수소를 갖지 않는 경우, 상기 막은 10 % 이상 팽창되는 반면, 전구체가 탄화수소를 갖는 경우, 상기 막은 10 % 미만으로 팽창된다. 전구체 내의 탄화수소 함량은 상기 막의 팽창 정도를 조절할 수 있다.
[0006] 일부 실시 예들에서, 상기 막이 산화에 의해 팽창된 후, 질소 분위기와 같은 불활성 가스 분위기에서 어닐링을 수행하여 산화 공정 중에 상기 막에 포함된 수분과 같은 불순물을 제거한다.
[0007] 본 발명의 양태들 및 관련 기술을 넘어 달성된 장점들을 요약하기 위해, 본 발명의 특정 목적들 및 장점들이 본 명세서에서 설명된다. 물론, 반드시 그러한 모든 목적들 또는 이점들이 본 발명의 임의의 특정 실시 예에 따라 달성될 필요는 없다는 것을 이해해야 한다. 따라서, 예를 들어, 당업자라면, 본 발명이 여기에 교시되거나 제안될 수있는 다른 목적들 또는 이점들을 반드시 달성하지 않으면서 본명세서에서 교시된 목적들 또는 장점들의 그룹을 달성하거나 최적화하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
[0008] 본 발명의 다른 양태, 특징 및 이점은 이하의 상세한 설명으로부터 명백해질 것이다.
[0009] 본 발명의 이들 및 다른 특징들은 본 발명을 설명하기 위한 것이지 본 발명을 제한하고자 하는 것은 아닌 바람직한 실시 예들의 도면들을 참조하여 설명될 것이다. 도면은 설명의 편의를 위해 크게 단순화되어 있으며, 반드시 일정한 비율로 도시되어 있지는 않습니다.
[0009] 도 1a는 본 발명의 일 실시 예에서 사용할 수 있는 막을 퇴적하기 위한 PEALD(plasma-enhanced atomic layer deposition) 장치의 개략도이다.
[0010] 도 1b는 본 발명의 일 실시 예에서 사용 가능한 유동-통과 시스템(FPS)을 사용하는 전구체 공급 시스템의 개략도를 도시하는데, 여기서 (a)는 전구체를 운반하는 캐리어 기체를 나타내고, (b)는 전구체를 운반하지 않는 캐리어 기체를 나타낸다.
[0010] 도 2는 부분적으로 제조된 집적 회로의 개략적인 단면도로서, 본 발명의 일 실시 예에 따른 트렌치의 막 충전 공정들 (a), (b) 및 (c)를 도시한다.
[0011] 도 3은 본 발명의 일 실시 예에 따른 실리콘 질화물 충전 막의 푸리에 변환 적외선(FTIR) 스펙트럼이다.
[0012] 도 4는 본 발명의 일 실시 예에 따른 트렌치에 충전된 막을 형성하는 흐름도이다.
[0013] 도 5는 본 발명의 실시 예에 따른 SiN 막을 퇴적하기 위한 1 사이클의 PEALD의 개략적인 공정 순서를 도시하며, 회색의 셀은 ON 상태를 나타내고, 백색의 셀은 OFF 상태를 나타내고, 각 컬럼의 폭은 각 프로세스의 기간을 나타내는 것은 아니다.
[0014] 본 개시 내용에서, "가스"는 기화 된 고체 및/또는 액체를 포함할 수 있으며, 문맥에 따라 단일 가스 또는 가스 혼합물에 의해 구성될 수 있다. 마찬가지로, "a"또는 "an"이란 문맥에 따라 여러 종을 포함하는 한 종 또는 속을 지칭한다. 본 개시 내용에서, 샤워 헤드를 통해 반응 챔버로 도입된 공정 가스는 실리콘-함유 전구체 및 첨가 가스로 구성될 수 있고, 본질적으로 구성될 수 있거나, 또는 이들로 구성될 수 있다. 첨가 가스는 RF 전력이 첨가 가스에 인가될 때 전구체를 산화 및/또는 질화하기 위한 가스를 포함한다. 전구체 및 첨가 가스는 반응 공간에 혼합 가스로서 또는 개별적으로 도입될 수 있다. 전구체는 희유 가스와 같은 캐리어 가스로 도입될 수 있다. 희유 가스와 같은 밀봉(seal) 가스를 포함하는 반응 공간을 밀봉하기 위해, 공정 가스 이외의 가스, 즉 샤워 헤드를 통과하지 않고 도입된 가스가 사용될 수 있다. 일부 실시 예들에서, "막(film)"은 전체 표적 또는 관련 표면 또는 단순히 표적 또는 관련 표면을 덮는 층을 덮기 위해 실질적으로 핀홀들이 없는 두께 방향에 수직인 방향으로 연속적으로 뻗어있는 층을 지칭한다. 일부 실시 예에서, "층(layer)"은 표면 상에 형성된 소정의 두께를 갖는 구조 또는, 막 또는 비-막 구조의 동의어를 지칭한다. 막 또는 층은 특정 특성을 갖는 별개의 단일 막 또는 층, 또는 다수의 막들 또는 층들로 구성될 수 있으며, 인접한 막들 또는 층들 사이의 경계는 명확하거나 명확하지 않을 수도 있으며, 물리적, 화학적 및/또는 임의의 다른 특성들, 형성 공정들 또는 순서, 및/또는 인접한 막 또는 층의 기능들 또는 목적들에 기초하여 설립될 수 있다. 나아가, 본 개시 내용에서, 임의의 두 개의 변수가, 일상적인 작업에 기초하여 실행 가능한 범위가 결정됨에 따라 상기 변소의 실행 가능한 범위를 구성할 수 있으며, 그리고 지시된 임의의 범위들이 종단점들을 포함하거나 또는 배제할 수 있다. 또한, ("약(about)"으로 표시되는 지의 여부에 관계없이)지시된 변수들의 임의의 값들은 정확한 값들 또는 근사값들을 나타낼 수 있고 등가물을 포함할 수 있으며, 일부 실시 예들에서 평균, 중앙값, 대표, 다수 등을 나타낼 수 있다.
[0015] "구성된(constituted by)" 및 "갖는(having)"이라는 용어는 일부 실시 예에서 독립적으로 "전형적으로 또는 광범위하게 포함하는(typically or broadly comprising)", "포함하는(comprising)", "본질적으로 이루어진(consisting essentially of)" 또는 "구성된(consisting of)"을 지칭한다.
[0016] 조건들 및/또는 구조들이 특정되지 않는 본 개시 내용에서, 당업자는 일상적인 실험의 문제로서 본 개시를 고려하여 그러한 조건들 및/또는 구조들을 용이하게 제공할 수 있다. 개시된 모든 실시 예들에서, 일 실시 예에서 사용된 임의의 구성 요소는 의도된 목적을 위해 본 명세서에서 명시적으로, 필연적으로, 또는 본질적으로 개시된 구성 요소를 포함하는 임의의 구성 요소들로 대체될 수 있다. 또한, 본 발명은 장치들 및 방법들에도 동일하게 적용될 수 있다.
[0017] 본 개시에서, 임의의 정의 된 의미는 일부 실시 예들에서 통상적인 및 통상적인 의미를 반드시 배제하지 않는다.
[0018] 본 개시에서, "실질적으로 제로(substantially zero)" 또는 그 유사한 것은, 예를 들어, 일부 실시 예들에서 전체 또는 참조된 값에 비해 10 % 미만, 5 % 미만, 1 % 미만 또는 그의 임의의 범위와 같이, 검출 불가능한 양, 검출 가능한 양보다 적은 양, 목표 또는 의도된 특성들에 실질적으로 영향을 미치지 않는 양, 또는 당업자가 거의 제로로 인식하는 양을 지칭할 수 있다.
[0019] 일부 실시 예들에서, 용어 "전구체"는 일반적으로 다른 화합물을 생성하는 화학 반응에 참여하는 화합물, 특히 막 매트릭스 또는 막의 주 골격을 구성하는 화합물을 지칭하는 반면, 용어 "반응물"은 전구체를 활성화 시키거나, 전구체를 변형시키거나, 전구체의 반응을 촉매하는 화합물을 지칭한다.
[0020] 본 명세서에서, "Si-N 결합을 함유함"은 실질적으로 Si-N 결합 또는 Si-N 결합들로 구성된 주 골격을 갖는, 및/또는 실질적으로 Si-N 결합 또는 Si-N 결합들로 구성된 치환체를 갖는 Si-N 결합 또는 Si-N 결합들을 특징으로 하는 것을 지칭할 수 있다. 본 명세서에서, "경화(curing)"는 화학 반응 (중합 또는 가교 결합) 및/또는 물리적 작용(예를 들어, 증발)이 발생하여, 더욱 단단하고 강하며, 및/또는 더욱 안정적인 막 매트릭스의 결합을 유발하는 공정을 의미한다. 본 명세서에서, "어닐링"은 물질이 그것의 안정한 형태로 수득하기 위해 처리되는 공정을 의미하며, 예를 들어, 어떤 성분에 존재하는 말단 그룹(예를 들어, 알코올 그룹 및 하이드록실 그룹)은 보다 안정한 그룹(예를 들어, Si-Me 그룹과 같은)으로 대체되거나 및/또는 보다 안정한 형태(예를 들어 Si-O 결합)를 형성할 수 있다. 본 명세서에서, "어닐링"이라는 용어는, 예를 들어 Si-N 결합들을 Si-O 결합들로 대체하여, 결과적으로 막의 팽창을 유발하는 치환 반응이 일어나는 동안 경화와 유사한 공정으로도 지칭된다. 일부 실시 예들에서, 상기 경화 및 어닐링은 상호 배타적인 공정으로 정의되거나 대안적으로 상호 교환 가능하게 정의된다.
[0021] 상기 실시 예들은 바람직한 실시 예들에 관하여 설명될 것이다. 그러나, 본 발명은 바람직한 실시 예들에 한정되지 않는다.
[0022] 일부 실시 예들에서, 쉼 또는 보이드 없이 기판의 트렌치에 채워진 막을 형성하는 방법은; (i) 전구체로서 할로겐 화합물을 사용하여 반응 공간에 배치된 기판의 트렌치 내에 컨포멀(conformal) SiN 막을 퇴적하는 단계; (ii) 쉼 및/또는 보이드(void)를 갖는 충전된 막으로 작용하는 상기 컨포멀 SiN 막으로 상기 트렌치가 채워질 때까지 단계 (i)를 계속하는 단계; (iii) 단계 (ii) 후에, 상기 충전된 막을 팽창시키기 위해 막의 퇴적없이 상기 충전된 막을 산화시키는 단계; 및 (iv) 상기 충전된 막의 쉼 및/또는 보이드가 감소될 때까지 단계 (iii)을 계속하는 단계.
[0023] 본 명세서에서, "쉼(seam)"은 충전된 막에 형성된 모서리들의 맞댐면(abutment)에 의해 형성된 홈형 오목부 또는 함몰부를 지칭하며, 상기 "쉼"은 주사 투과 전자 현미경(STEM) 또는 투과 전자 현미경(TEM)을 사용하여 확인될 수 있으며, 여기서 만약 채워진 막의 영역에서 관찰로 선명한 세로 선이 드러나면 "쉼"이 "존재"하는 것이고, 채워진 막의 영역에서 사진이 인식할 수 있는 세로 선을 나타내면 "쉼"이 "약간 존재한다"는 것이다.
[0024] 본 명세서에서, 상기 용어 "보이드"는 충전된 막에 형성된 일반적으로 폐쇄 된 빈 공간(예를 들어, 타원형)을 지칭하며, "보이드"는 상기 "쉼"을 확인하기 위한 것과 유사한 방식으로 충전된 막의 수직 단면을 사용하여 확인될 수 있다.
[0025] 본 명세서에서, 충전된 막의 "팽창율(expansion rate)"이란 용어는 산화 공정 전에 충전된 막의 부피와 비교하여 산화 공정이 완료될 때 충전된 막의 부피의 증가를 의미하며, "팽창율"은 막 두께 게이지로 측정된 충전된 막의 두께 변화에 기초하여 결정된다.
[0026] 본 명세서에서, 상기 충전된 막 또는 충전가능한 막은 또한 내장 막(embedding film)으로 지칭된다.
[0027] 일부 실시 예들에서, 단계 (iii) 및 (iv)의 산화 공정은 Si-N 결합들을 Si-O 결합들(예를 들어, Si-NH + H2O → Si-O + NH3 ↑)로 대체하는 공정이다. Si-N 결합들을 Si-O 결합들로 대체함으로써, 산소가 질소보다 원자 수가 많아서 막을 구성하는 원자의 수가 증가하여 막의 팽창을 초래한다. 일부 실시 예들에서, 치환 반응에 의해 SiN 막은 실질적으로 SiOH로 구성된 막으로 전환될 수 있으며, 예를 들어, 상기 막은 실리콘 질화물 막이 아닌 실리콘 산화물 막의 특성을 나타낸다. 예를 들면, 실리콘 질화물 막의 실리콘 산화물 막으로의 변환은 그것의 굴절률(RI)의 변화에 기초하여 확인될 수 있다. 실리콘 질화물 막의 굴절률은 주파수 633nm에서 약 1.85로 측정되고, 실리콘 산화물 막의 굴절률은 주파수 633nm에서 약 1.47 내지 1.5로 측정된다. 따라서, 막의 굴절률을 약 1.85에서 약 1.47 내지 1.5로 변화시키면, 막이 SiN으로 구성된 막으로부터 SiO로 구성된 다른 막으로 변환된 것을 확인할 수 있다.
[0028] 일부 실시 예들에서, 단계 (ⅳ)는 충전된 막의 팽창율이 5 % 내지 20 %, 바람직하게는 15 % 이하(예를 들어, 5 % 내지 10 %)의 범위에 이를 때까지 계속된다. 막의 팽창율이 너무 높으면, 트렌치 패턴이 변형되어 문제를 일으킬 수 있다. 막의 팽창율이 너무 낮으면, 쉼/보이드가 충분히 감소되지 않을 수있다.
[0029] 일부 실시 예들에서, 단계 (iii) 및 (iv)에서의 산화 공정은 충전된 막을 H2O 또는 H2O2 (예를 들어, Si-NH + H2O ⇒ Si-O + NH3) 분위기에서 어닐링하고, 충전된 막을 UV 조사에 의해 경화시키고, 산화 가스(예를 들어, Si-NH + O2 ⇒ Si-O + NH ↑)를 사용하여 충전된 막을 가열하거나, 또는 이들 중 임의의 것을 조합하여 수행할 수 있다. 바람직하게는, 산화 공정은 충전된 막을 H2O 또는 H2O2의 분위기에서 어닐링하는 단계를 포함한다.
[0030] 일부 실시 예들에서, 단계 (ⅰ) 및 (ⅱ)의 퇴적 공정은 원자층 퇴적(ALD)의 공정이다. 일부 실시 예들에서, ALD의 공정은 플라즈마 강화 ALD(PEALD)의 공정이다. 쉼/보이드가 없는 내장 막(충전된 막)을 형성하기 위해, 트렌치에 퇴적된 막은 90 % 이상의 높은 컨포멀리티(conformality)를 가져야 한다. 막의 컨포멀리티가 낮 으면 쉼/보이드가 너무 커져 축소되지 않습니다.
[0031] 일부 실시 예들에서, 전구체는 그것의 분자 내에 실리콘, 할로겐 및 탄화수소를 함유한다. 전구체가 탄화수소 성분을 함유하는 경우, 생성된 SiN 막은 또한 탄화수소 성분을 함유한다. CH3와 같은 탄화수소 성분은 산화 과정에서 생성된 OH와 반응하여 CH3OH와 같은 알코올을 형성하여 산화 과정에서 증발하여 막의 수축을 일으킨다. 일부 실시 예들에서, 전구체는 SiH2X2, SiHX2Y, Si2X6, Si3X8, Si2X4Y2, SiX2Y4, Si3X2Y4, Si3X4Y2, Si3NH8X 및 Si3NH6X3(여기서, X는 할로겐이고, Y는 탄화수소 임)로 이루어진 군으로부터 선택된다. 일부 실시 예들에서, ALD의 공정은 N2, N2/H2, NxHy 및 NxHyCz (여기서, x, y 및 z는 양의 정수이다)로 이루어진 군으로부터 선택되는 반응 기체를 사용한다.
[0032] 일부 실시 예들에서, 상기 방법은 단계 (iv) 후에, (v) 상기 충전된 막을 불활성 가스 분위기에서 어닐링하는 단계를 더 포함한다. 불활성 가스 어닐링에 의해, 상기 산화 공정 중에 생성된 수분이 상기 막으로부터 제거될 수 있다. 상기 불활성 가스 어닐링 동안 상기 막으로부터 수분을 제거할 때 상기 막이 약간 수축될 수 있지만, 막의 수축 정도는 새로운 보이드 및/또는 쉼을 생성하기에 충분하지 않다. 또한, 상기 불활성 가스 어닐링 동안, 막 내에 잔류하는 탄화수소 성분이 또한 제거될 수 있다. 일부 실시 예들에서, 불활성 가스는 전형적으로 질소 가스이다. 그러나, 불활성 가스로서 H2 및 희가스(예: Ar)와 같은 다른 불활성 가스가 사용될 수 있다. 일부 실시 예들에서, 단계 (v)는 충전된 막에서 O-H 결합들이 실질적으로 검출되지 않을 때까지 계속된다.
[0033] 일부 실시 예들에서, 상기 트렌치는 50nm 이하의 폭과 2 내지 5의 종횡비를 갖는다. 상기 트렌치의 폭이 너무 넓고 및/또는 종횡비가 너무 높으면, 쉼/보이드 없이 내장 막을 형성하는 것이 어려울 것이다. 트렌치에 퇴적된 SiN 막의 두께는, 상기 막의 컨포말리티가 90% 내지 100%라면, 전형적으로 트렌치 폭의 약 절반(예를 들어, 트렌치 폭의 45 % 내지 50 %)이다.
[0034] 일부 실시 예들이 도면들과 관련하여 설명될 것이다. 그러나 본 바렴은 상기 실시 예들에 한정되는 것은 아니다.
[0035] 도 4는 본 발명의 일 실시 예에 따른 트렌치에 충전된 막을 형성하는 흐름도이다. 이 도면에서, 쉼 또는 보이드 없이 기판의 트렌치에 충전된 막을 형성하는 방법에 있어서, 우선, 할로겐 화합물을 전구체로서 사용하여 반응 공간에 배치된 기판의 트렌치 내에 컨포멀 SiN 막을 퇴적한다(S11). 단계 S11은 쉼 및/또는 보이드를 갖는 충전된 막으로서 역할하는 상기 컨포멀 SiN 막으로 상기 트렌치가 충전될 때까지 계속된다(S12). 단계 S12 이후에, 상기 충전된 막은 막의 퇴적없이 산화되어 상기 충전된 막을 팽창시킨다(S13). 단계 S13은 상기 충전된 막의 쉼 및/또는 보이드가 감소될 때까지 계속된다(S14). 단계 S14 후에, 상기 충전된 막을 불활성 가스 분위기에서 어닐링한다(S15).
[0036] 도 2는 본 발명의 일 실시 예에 따라 트렌치 내에, 단계 S11 및 S12에 대응하는 막 충전 공정(a), 단계 S13 및 S14에 대응하는 막 충전 공정(b), 및 단계 S15에 대응하는 막 충전 공정(c)를 보여주는, 부분적으로 제조된 집적 회로의 개략적 인 단면도를 도시한다. 공정 (a)에 있어서, 기판 (21)의 트렌치(27)에 SiN 막(22)이 퇴적된다. 공정 (b)에서는 Si-O 결합들에 의해 Si-N 결합들을 대체하기 위해 상기 SiN 막이 산화되며, 그 결과, 상기 SiN 막은 SiN 막(25)으로 변환되어 팽창한다. 팽창으로 인해, 상기 팽창된 SiO 막(25)은 실질적으로 보이드를 갖지 않으며, 상기 쉼이 현저하게 감소된다(일부 실시 예들에서, 상기 쉼은 실질적으로 사라지거나 또는 식별 불가능할 수 있다). 공정 (c)에서는, 상기 팽창된 SiO 막을 불활성 가스 중에서 어닐링하여 수분을 제거함으로써, 탈수된 SiO 막(26)을 형성한다.
[0037] 도 5는 본 발명의 실시 예에 따른 SiN 막을 퇴적하기 위한 1 사이클의 PEALD의 개략적인 공정 순서를 도시하며, 회색의 셀은 ON 상태를 나타내고, 백색의 셀은 OFF 상태를 나타내고, 각 컬럼의 폭은 각 공정의 기간을 나타내지는 않는다. 이 실시 예에서, PEALD의 한 주기는 Si 함유 전구체 기체(Si 전구체)가 RF 전력을 반응 공간에 인가하지 않고, Si 전구체를 운반하는 캐리어 기체를 통해 반응 공간에 공급되는, 그리고 또한, 희석 가스 및 반응물 가스가 반응 공간에 공급됨으로써, 자기-제한적 흡착을 통해 기판의 표면 상에 에칭 가스를 화학 흡착하는 "투입(Feed)"; 반응 공간에 Si 전구체가 공급되지 않고, 반면에 캐리어 가스, 희석 가스 및 반응물 가스가 RF 전력을 사용하지 않고 반응 공간에 연속적으로 공급되어 상기 기판의 표면으로부터 화학적 흡착이 되지 않은 가스 및 과잉 가스를 제거하는 "퍼지(purge)"; RF 전력이 상기 반응 공간에 인가되지 않으며, 반면에 Si 전구체를 공급하지 않고 캐리어 가스, 희석 가스 및 반응물 가스가 반응 공간에 연속적으로 공급되어 여기 상태(excited state)에서 상기 반응물 가스와 플라즈마 표면 반응을 통하여 유전체층을 퇴적하는 "RF"; 및 반응 공간에 Si 전구체가 공급되지 않고 그리고 상기 반응 공간에 RF 전력을 인가하지 않고, 캐리어 가스, 희석 가스 및 반응물 가스가 반응 공간에 연속적으로 공급되어 상기 기판의 표면으로부터 부산물들 및 잉여 가스를 제거하는 "퍼지(purge)";를 포함한다. 상기 캐리어 가스는 반응물 가스로 구성될 수 있다. Si 전구체가 간헐적으로 또는 펄스로 주입되는 일정한 흐름으로서 반응 공간 내로 진입하는 캐리어 가스의 연속 흐름으로 인해, 퍼징은 효율적으로 수행되어 잉여 가스 및 부산물을 상기 층의 표면으로부터 신속하게 제거 할 수 있다. 이에 따라 다수의 ALD 사이클을 효율적으로 계속할 수 있다.
[0038] 상기 공정 순서에서, 상기 전구체는 연속적으로 공급되는 캐리어 가스를 사용하여 펄스로 공급된다. 이는 캐리어 가스 라인에 전구체 저장소(병)를 갖는 우회 라인이 제공되고, 메인 라인과 우회 라인이 스위칭되는 플로우-패스 시스템(FPS)을 사용하여 달성될 수 있으며, 캐리어 가스 만이 반응 챔버에 공급되도록 의도되면 우회 라인은 폐쇄되고, 반면에 캐리어 가스 및 전구체 가스 모두가 반응 챔버에 공급되도록 의도될 때는, 메인 라인은 폐쇄되고 캐리어 가스는 우회 라인을 통해 흐르고, 전구체 가스와 함께 상기 병으로부터 유출된다. 이러한 방식으로, 캐리어 가스는 연속적으로 반응 챔버 내로 유동할 수 있고, 메인 라인 및 우회 라인을 스위칭함으로써 펄스 형태로 전구체 가스를 운반할 수 있다. 도 1b는 본 발명의 일 실시 예에 따른 플로우-패스 시스템(FPS)을 사용하는 전구체 공급 시스템을 도시한다 (흑색 밸브는 밸브가 폐쇄됨을 나타낸다). 도 1b의 (a)에 도시된 바와 같이, 반응 챔버(미도시)에 전구체를 공급할 때, 먼저 Ar(또는 He)과 같은 캐리어 가스가 밸브 들(b, c)를 갖는 가스 라인을 통해 흐르고, 이어서 병(저장소)(20)으로 들어간다. 상기 캐리어 가스는 병(20) 내부의 증기압에 대응하는 양으로 전구체 가스를 운반하면서 병(20)으로부터 유출되고, 밸브들(f, e)을 통해 가스 라인을 통해 유동하고, 이어서 전구체와 함께 반응 챔버에 공급된다. 상기에서, 밸브들(a, d)는 폐쇄된다. 캐리어 가스(희가스)만을 반응 챔버에 공급하는 경우에는, 도 1b의 (b)에 도시하는 바와 같이, 캐리어 가스는 병(20)을 우회하면서 밸브(a)와 함께 가스 라인을 통해 흐른다. 상기에서, 밸브들(b, c, d, e 및 f)는 폐쇄된다.
[0039] 상기 전구체는 캐리어 가스의 도움으로 제공될 수 있다. ALD는 자기-제한적 흡착 반응 과정이기 때문에, 퇴적된 전구체 분자의 수는 반응성 표면 부위들의 수에 의해 결정되며, 포화 후 전구체 노출과는 독립적이며, 전구체의 공급은 반응성 표면 부위들이 사이클 마다 포화되도록 한다. 퇴적을 위한 플라즈마는 예를 들어, 퇴적 사이클을 통해 연속적으로 유동하는 암모니아 가스에서 인시튜(in situ)로 생성될 수 있다. 다른 실시 예둘에서, 상기 플라즈마는 원격으로 생성되어 반응 챔버에 제공될 수 있다.
[0040] 전술 한 바와 같이, 각 퇴적 사이클의 각각의 펄스 또는 단계(phase)는 바람직하게는 자기-제한적이다. 과잉의 반응물이 각 단계에서 공급되어 민감한 구조 표면들을 포화시킨다. 표면 포화는 사용 가능한 모든 반응성 부위들(예를 들어, 물리적 크기 또는 "입체 장애(steric hindrance)" 구속들과 같은 대상)의 반응물 점유를 보장하므로 우수한 스텝 커버리지를 보장한다. 일부 실시 예들에서, 하나 이상의 반응물의 펄스 시간은 완전한 포화가 달성되지 않고 단층 보다 적게 기판 표면 상에 흡착되도록 감소될 수 있다.
[0041] 상기 공정 사이클은 예를 들어, 도 1a에 도시된 장치를 포함하는 임의의 적절한 장치를 사용하여 수행될 수 있다. 도 1a는 PEALD 장치의 개략도이며, 바람직하게는 본 발명의 일부 실시 예들에서 사용 가능한 후술하는 시퀀스를 수행하도록 프로그램된 제어들과 관련되어 있다. 이 도면에서, 반응 챔버(3)의 내부(11)(반응 구역)에서 서로 마주하는 한 쌍의 도전성 평판 전극들(4, 2)을 평행하게 제공하고, HRF 전력(13.56 MHz 또는 27 MHz)(20)을 일 측에 인가하고, 전기적으로 타 측(12)을 접지시키면, 전극들 사이에 플라즈마가 여기된다. 하부 스테이지(2)(하부 전극)에는 온도 조절기가 설치되고, 그 위에 놓인 기판(1)의 온도는 주어진 온도로 일정하게 유지된다. 상부 전극(4)도 샤워 플레이트로서 작용하고, 그리고 각각 가스 라인(21) 및 가스 라인(22)을 통해 반응 챔버(3) 내로 그리고 샤워 플레이트(4)를 통해 반응 가스(및 희가스) 및 전구체 가스가 도입된다. 또한, 반응 챔버(3)에는 배기 라인(7)을 갖는 원형 덕트(13)가 제공되며, 이 덕트를 통해 반응 챔버(3)의 내부(11) 내의 가스가 배출된다. 또한, 희석 가스가 가스 라인(23)을 통해 반응 챔버(3) 내로 도입된다. 또한, 반응 챔버(3) 아래에 배치된 이송 챔버(5)에는 밀봉 가스 라인(24)이 제공되어 밀봉 챔버(5)의 내부(16) (전달 구역)를 통해 상기 반응 챔버(3)의 내부(11)로 밀봉 가스를 도입하며, 반응 구역과 전달 구역을 분리하기 위한 분리 판(14)이 제공된다(웨이퍼가 이송 챔버(5) 내로 또는 이로부터 이송되는 게이트 밸브는 도면에서 생략되었다). 이송 챔버는 또한 배기 라인(6)을 구비한다. 일부 실시 예들에서, 다원소 막의 퇴적 및 표면 처리는 동일한 반응 공간에서 수행되어 모든 단계가 기판을 공기 또는 다른 산소-함유 대기에 노출시키지 않고 연속적으로 수행될 수 있다. 일부 실시 예들에서, 원격 플라즈마 유닛은 가스를 여기시키기 위해 사용될 수 있다.
[0042] 일부 실시 예들에서, 도 1a에 도시된 장치에서, 전술된 도 1b에서 도시된 불활성 가스의 및 전구체 가스의 스위칭 유동 시스템은, 반응 챔버의 압력을 실질적으로 변동시키지 않으면서 펄스로 전구체 가스를 도입하기 위해 사용될 수 있다.
[0043] 일부 실시 예들에서, PEALD는 아래의 표 1에서 보여지는 조건들 하에서 수행될 수 있다.
[0044] 표 1 (수치들은 근사치)
퇴적 사이클의 조건들
기판 온도 200 내지 700℃(바람직하게는 300 내지 500℃)
압력 100 내지 5000Pa(바람직하게는 200 내지 1000Pa)
전구체 펄스 0.1 내지 5초 (바람직하게는 0.1 내지 1초)
전구체 퍼지 0.1 내지 10초 (바람직하게는 0.5 내지 5초)
반응물의 유량(연속적) N2에 대해, 100 내지 10000sccm(바람직하게는 100 내지 5000sccm)
H2에 대해, 50 내지 3000sccm(바람직하게는 100 내지 2000sccm)
(N2/H2 = 100-10000/50-3000, 바람직하게는 100-5000/100-2000)
NH3에 대해, 500 내지 10000sccm(바람직하게는 1000 내지 5000sccm)
캐리어 가스의 유량(연속적) 500 내지 5000sccm(바람직하게는 1000 내지 4000sccm)
희석 가스의 유량(연속적) 1000 내지 5000sccm(바람직하게는 1000 내지 3000sccm)
300-mm 웨이퍼용 RF 전력(13.56MHz) 600W 이상(바람직하게는 600 내지 1000W)*
RF 전력 펄스 1 내지 10초 (바람직하게는 3 내지 5초)
퍼지 0.1 내지 5초 (바람직하게는 0.1 내지 1초)
사이클 당 성장율(상부 표면 상) 0.01 내지 0.03 nm/사이클
스텝 커버리지(측부/상부; 측부/바닥) 90 내지 105%: 90 내지 95%(바람직하게는 90 내지 95%; 90 내지 93%)
[0045] *) 약 0.85 ~ 1.41 W/㎠(300 mm 웨이퍼의 경우 600 내지 1000 W)는 200mm 기판 및 450mm 기판과 같은 다른 크기의 기판에 적용할 수 있. 마찬가지로, "W"는 본 명세서에서 "W/㎠"로 변환될 수 있다.
[0046] 일부 실시 예들에서, SiN 막은 아래의 표 2에서 보여지는 조건들 하에서 열 ALD에 의해 퇴적될 수 있다.
[0047] 표 2 (수치들은 근사치)
퇴적 사이클의 조건들
기판 온도 200 내지 700℃(바람직하게는 300 내지 500℃)
압력 100 내지 5000Pa(바람직하게는 200 내지 1000Pa)
전구체 펄스 1 내지 120초 (바람직하게는 1 내지 60초)
전구체 퍼지 1 내지 120초 (바람직하게는 1 내지 30초)
반응물의 유량(연속적) N2에 대해, 100 내지 10000sccm(바람직하게는 100 내지 5000sccm)
H2에 대해, 50 내지 3000sccm(바람직하게는 100 내지 2000sccm)
(N2/H2 = 100-10000/50-3000, 바람직하게는 100-5000/100-2000)
NH3에 대해, 500 내지 10000sccm(바람직하게는 1000 내지 5000sccm)
캐리어 가스의 유량(연속적) 500 내지 5000sccm(바람직하게는 1000 내지 4000sccm)
희석 가스의 유량(연속적) 1000 내지 5000sccm(바람직하게는 1000 내지 3000sccm)
퍼지 0.1 내지 60초 (바람직하게는 1 내지 30초)
사이클 당 성장율(상부 표면 상) 0.01 내지 0.03 nm/사이클
스텝 커버리지(측부/상부; 측부/바닥) 90 내지 105%: 90 내지 95%(바람직하게는 90 내지 95%; 90 내지 93%)
[0048] 일부 실시예들에서, 산화 공정은 아래의 표 3에서 보여지는 조건들 하에서 H2O 어닐링에 의해 수행될 수 있다.
[0049] 표 3 (수치들은 근사치)
H2O 어닐링에 대한 조건들
기간 10 내지 90분(바람직하게는 20 내지 60분)
압력 200 내지 3000Pa(바람직하게는 300 내지 1000Pa)
온도 200 내지 700℃(바람직하게는 300 내지 400℃)
H2O 분압 20% 0.1slm/min
다른 가스(유량?) Ar: 2slm
[0050] 일부 실시 예들에서, 산화 공정은 아래 표 4에서 보여지는 조건들 하에서 UV 경화에 의해 수행될 수 있다.
[0051] 표 4 (수치들은 근사치)
UV 경화를 위한 조건들
기간 30 내지 300초(바람직하게는 30 내지 120초)
압력 100 내지 1000Pa(바람직하게는 200 내지 500Pa)
온도 100 내지 700℃(바람직하게는 200 내지 400℃)
UV 조사 강도 200 내지 10000mW(바람직하게는 1000 내지 5000mW)
사용가능한 가스 O2
유량 500 내지 10000sccm(바람직하게는 1000 내지 5000 sccm)
[0052] 일부 실시 예들에서, 산화 공정은 아래 표 5에서 보여지는 조건들 하에서 산화 가스를 사용하는 열처리에 의해 수행될 수 있다.
[0053] 표 5 (수치들은 근사치)
산화 가스를 사용하는 열처리 조건들
기간 10 내지 120분(바람직하게는 30 내지 60분)
압력 1000 내지 대기압 Pa(바람직하게는 5000 내지 대기압 Pa)
온도 200 내지 700℃(바람직하게는 200 내지 400℃)
산화 가스 O2, O3
유량 100 내지 5000sccm(바람직하게는 500 내지 2000 sccm)
다른 가스 Ar
유량 500 내지 3000sccm(바람직하게는 1000 내지 2000 sccm)
[0054] 일부 실시 예들에서, 불활성 가스 어닐링은 아래 표 6에서 보여지는 조건들 하에서 수행될 수 있다.
[0055] 표 6 (수치들은 근사치)
H2O 어닐링에 대한 조건
기간 10 내지 90분(바람직하게는 30 내지 60분)
압력 대기압
온도 100 내지 700℃(바람직하게는 200 내지 500℃)
N2 유량 1000 내지 10000sccm(바람직하게는 2000 내지 5000 sccm)
[0056] 일부 실시 예들에서, 이중 챔버 반응기(서로 근접하게 배치된 웨이퍼들을 처리하기 위한 두 개의 섹션들 또는 격실들)가 사용될 수 있으며, 반응 가스 및 희가스는 공유 라인을 통해 공급될 수 있는 반면, 전구체 가스는 비공유 라인을 통해 공급된다.
[0057] 당업자는 본 장치가 본 명세서의 다른 곳에서 설명된 퇴적 및 반응기 세정 공정이 수행되도록 프로그램되거나 달리 구성된 하나 이상의 제어기(들)(도시되지 않음)을 포함한다는 것을 인식할 것이다. 제어기(들)는 당업자가 알 수 있는 바와 같이, 다양한 전원들, 가열 시스템들, 펌프들, 로봇 공학 및 반응기의 가스 유동 제어기들 또는 밸브들과 통신된다.
[0058] 본 발명은 이하의 실시 예들을 참조하여 더 설명된다. 그러나, 실시 예들은 본 발명을 제한하려는 것이 아니다. 조건들 및/또는 구조들이 명시되지 않은 예들에서, 당업자는 일상적인 실험의 문제로서 본 개시를 고려하여 그러한 조건들 및/또는 구조들을 용이하게 제공할 수 있다. 또한, 특정 실시 예에서 적용된 수치들은 일부 실시 예들에서 적어도 ± 50 %의 범위로 수정될 수 있으며, 상기 수치들은 근사치이다.
[0059] 예시들
[0060] 예시 1
SiN 막은, 이하의 표 9에 나타낸 조건들 하에서 도 2에 도시된 시퀀스 및 도 1a 및 도 1b에 나타내는 PEALD 장치를 사용하여, 하기 표 7(공통 조건들) 및 표 8 (각 예시에 특유한 조건들)에서 보여지는 조건들 하에서, 개구 폭 약 30nm, 깊이 105nm, 종횡비 약 3.5(트렌치들의 간격은 130nm)를 갖는 패턴화된 표면을 갖는 300mm 기판 상에 형성되었다. 막 두께는 약 15nm였다.
[0062] 표 7 (수치들은 근사치)
공통 조건들
파라미터 PEALD H2O/H2O2 어닐
온도(℃) 400 표 8 참조
압력 (Pa) 400 800
캐리어 Ar(slm) 2 NA
희석 Ar(slm) 1 NA
주변 Ar(slm) NA 2
H2O 증기(slm/min) NA 0.1
기간 (분) 표 8 참조 30
[0063] 표 8 (수치들은 근사치)
예시
SiN 퇴적 H2O/H2O2 어닐
SiN 전구체 반응물(slm) RF (W) H2O2
250℃
H2O
300℃
H2O
700℃
비교예1 디요오도실란 H2/N2(0.3/0.5) 220 - - -
비교예2 디클로로테트라메틸디실란 NH3(2) 100 - - -
예시1 디요오도실란 H2/N2(0.3/0.5) 220 0 - -
예시2 디요오도실란 H2/N2(0.3/0.5) 220 - 0 -
예시3 디요오도실란 H2/N2(0.3/0.5) 220 - - 0
예시4 디클로로테트라메틸디실란 NH3(2) 100 0 - -
예시5 디클로로테트라메틸디실란 NH3(2) 100 - 0 -
예시6 모노클로로트리실릴메틸아민 NH3(2) 100 0 - -
예시7 모노클로로트리실릴메틸아민 NH3(2) 100 - 0 -
[0064] 표 9 (수치들은 근사치)
디요오도실란 디클로로테트라메틸디실란 모노클로로트리실릴메틸아민
투입(초) 0.1 1 0.1
퍼지(초) 1 1 1
RF(초) 5 5 3
퍼지(초) 0.1 0.1 0.1
[0065] 트렌치 내의 각 충전 막은 다음과 같이 평가되었다.
[0066] SiN 퇴적시 쉼 : 주사 투과 전자 현미경(STEM)을 사용하여, 만약 관찰로 상기 충전 막의 영역에서 명확한 세로 선이 드러나면 "쉼"은 "있음"이며, 만약 사진에서 상기 충전 막의 영역에 인식가능한 세로 줄이 드러나면 "쉼"은 "약간 있음"이며, 만약 사진에서 상기 충전 막의 영역에 인식가능한 세로 줄이 드러나지 않으면 "쉼"은 "없음"이다.
[0067] H2O/H2O2 어닐링시 쉼 : 위에서와 동일한 방식으로 평가되었다.
[0068] H2O/H2O2 어닐링시 팽창율(%) : 상기 팽창율은 막 두께 게이지로 H2O/H2O2 어닐링 이전 및 이후에 측정된 상기 충전 막의 두께에서의 변화에 기초하여 결정되었다.
[0069] H2O/H2O2 어닐링시 변형/손상 : 상기 충전 막의 단면을 STEM 사진으로 관찰할 때, 만약 상기 트렌치의 개구부의 폭이 10% 이상 변화되는 범위까지 하부의 막(코어 물질)이 변형되면 변형/손상은 "있음"이고, 만약 그렇지 않으면 변형/손상은 "없음"으로 한다.
[0070] 굴절률(RI) : 충전된 막의 굴절률(RI)은 633nm의 주파수에서 측정되었다. RI가 약 1.85이면, 막이 SiN 막으로 결정되고, RI가 약 1.47 내지 1.50이면 상기 막은 SiO 막으로 결정된다.
[0071] 결과물들이 아래 표 10에서 보여진다.
[0072] 표 10 (수치들은 근사치)
예시 SiN퇴적시 쉼 H2O/H2O2 어닐시 쉼 H2O/H2O2 어닐시 팽창율(%) H2O/H2O2 어닐시 변형/손상 RI@633nm
비교예1 있음 - - - 1.86
비교예2 있음 - - - 1.84
예시1 약간 있음 없음 11 없음 1.49
예시2 약간 있음 없음 13 없음 1.47
예시3 약간 있음 없음 13 없음 1.47
예시4 약간 있음 없음 5 없음 1.50
예시5 약간 있음 없음 6 없음 1.50
예시6 약간 있음 없음 12 없음 1.48
예시7 약간 있음 없음 14 없음 1.47
표 10에 나타난 바와 같이, 모든 예시들에 있어서, H2O/H2O2 어닐링에 의해, SiN 막은 SiO 막으로 성공적으로 전환되고, 코어 물질에 대한 변형/손상을 초래하지 않으면서 쉼이 효과적으로 감소되었다.
[0074] 예시 2
[0075] 예시1 및 예시2에 따른 상기 충전 막은 아래 표 11에서 보여지는 조건들 하에서 상기 막으로부터 수분을 제거하기 위해 N2 어닐링시킨다.
[0076] 표 11 (수치들은 근사치)
파라미터 N2 어닐링
온도(℃) 900
N2 (slm) 5
압력 (kPa) 100
기간 (분) 30
[0077] 도 3은 실리콘 질화물로 충전 막의 푸리에 변환 적외선(FTIR) 스펙트럼이다. 도 3에 도시된 바와 같이, N2 어닐링에 의해, 충전된 막에 존재하는 실질적으로 모든 수분이 그로부터 제거되었다. 또한, 도 3에서는 상기 막이 SiO로 구성되어있다는 것을 확인하였고, N2 어닐링에 의해, 충전된 막에 약간 남아있는 Si-H 결합들 등의 다른 불순물들도 분리되었다.
[0078] 당업자는 본 발명의 사상을 벗어나지 않으면서 수많은 그리고 다양한 변형이 이루어질 수 있음을 이해할 것이다. 따라서, 본 발명의 형태는 단지 예시적인 것이며 본 발명의 범위를 제한하려는 것이 아니라는 것을 명확히 이해해야 한다.

Claims (16)

  1. 쉼(seam) 또는 보이드(void) 없이 기판의 트렌치 내에 충전되는 막을 형성하는 방법으로서,
    (i) 할로겐 화합물을 전구체로 사용하여, 반응 공간 내에 배치된 기판의 트렌치 내에 컨포멀(conformal) SiN 막의 층을 퇴적하는 단계;
    (ii) 충전된 막을 형성하기 위해 상기 컨포멀 SiN 막의 누적된 층들로 상기 트렌치가 완전히 충전되고 그리고 상기 트렌치의 상부 개구부가 상기 컨포멀 SiN의 누적된 층들에 의해 폐쇄될 때까지 단계 (i)를 반복적으로 계속하는 단계로서, 상기 트렌치 내에 완전히 충전된 상기 충전된 막은 쉼 및/또는 보이드를 가지며, 상기 충전된 막은 상기 트렌치를 충전하며 상기 누적된 층들로 형성된 충전된 막을 지칭하며, 상기 쉼은 상기 충전된 막의 단면 상에서 관찰되는 세로 선을 지칭하며, 상기 보이드는 상기 충전된 막의 단면 상에서 관찰되는 폐쇄된 빈 공간을 지칭하는, 상기 단계 (i)를 계속하는 단계;
    (iii) 상기 트렌치가 상기 충전된 막으로 완전히 충전된 후에, 상기 충전된 막을 상기 쉼 및/또는 보이드 내로 팽창시키기 위해 상기 충전된 막을 막의 퇴적 없이 산화시키는 단계; 및
    (iv) 상기 충전된 막의 쉼 및/또는 보이드가 감소될 때까지 단계 (iii)을 계속하는 단계;를 포함하는 방법.
  2. 청구항 1에 있어서,
    단계 (ⅲ) 및 (ⅳ)의 산화시키는 공정은 상기 충전된 막을 자외선(UV) 조사로 경화시킴으로써, 상기 충전된 막을 산화 가스를 사용하여 가열시킴으로써, 또는 이들 중 임의의 것을 조합하여 수행함으로써, 수행되며, 상기 산화 가스는 O2 또는 O3인 것을 특징으로 하는 방법.
  3. 청구항 1에 있어서,
    상기 산화시키는 공정은 상기 충전된 막을 300℃ 및 400℃ 사이의 온도에서 H2O 또는 H2O2의 분위기에서 어닐링시키는 단계를 포함하는 것을 특징으로 하는 방법.
  4. 청구항 1에 있어서,
    단계 (ⅲ) 및 (ⅳ) 에서 상기 산화시키는 공정은 Si-O 결합들에 의해 Si-N 결합들을 대체하는 공정인 것을 특징으로 하는 방법.
  5. 청구항 1에 있어서,
    단계 (ⅳ)는 상기 충전된 막의 팽창율이 부피에서 5% 내지 20%의 범위에 있을 때까지 계속되는 것을 특징으로 하는 방법.
  6. 청구항 1에 있어서,
    단계 (ⅳ)는 상기 SiN 막이 실질적으로 SiOH로 구성된 막으로 전환될 때까지 계속되는 것을 특징으로 하는 방법.
  7. 청구항 1에 있어서,
    단계 (i) 및 (ii) 에서 상기 퇴적시키는 공정은 원자층 퇴적(ALD) 공정인 것을 특징으로 하는 방법.
  8. 청구항 7에 있어서,
    상기 ALD 공정은 플라즈마-강화 ALD(PEALD) 공정인 것을 특징으로 하는 방법.
  9. 청구항 7에 있어서,
    상기 전구체는 그것의 분자 내에 실리콘, 할로겐, 및 탄화수소를 함유하는 것을 특징으로 하는 방법.
  10. 청구항 7에 있어서,
    상기 전구체는 SiH2X2, SiHX2Y, Si2X6, Si3X8, Si2X4Y2, SiX2Y4, Si3X2Y4, Si3X4Y2, Si3NH8X 및 Si3NH6X3으로 이루어진 그룹으로부터 선택되며, 여기서 X는 할로겐이고, Y는 탄화수소인 것을 특징으로 하는 방법.
  11. 청구항 7에 있어서,
    상기 ALD 공정은 N2, N2/H2, NxHy 및 NxHyCz로 이루어진 그룹으로부터 선택되는 반응 가스를 사용하며, 여기서 x, y 및 z는 양의 정수인 것을 특징으로 하는 방법.
  12. 삭제
  13. 청구항 1에 있어서,
    단계 (iv) 이후에,
    (v) 100℃ 및 700℃ 사이의 온도에서 불활성 가스의 분위기에서 상기 충전된 막을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  14. 청구항 13에 있어서,
    상기 불활성 가스는 질소 가스인 것을 특징으로 하는 방법.
  15. 청구항 13에 있어서,
    단계 (v)는, 상기 충전된 막에 실질적으로 O-H 결합들이 검출되지 않을 때까지 계속되는 것을 특징으로 하는 방법.
  16. 청구항 1에 있어서,
    상기 트렌치는 50nm 이하의 폭 및 2 내지 5의 종횡비를 갖는 것을 특징으로 하는 방법.
KR1020170086151A 2016-07-06 2017-07-06 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법 KR102567794B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230105421A KR20230121715A (ko) 2016-07-06 2023-08-11 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/203,632 US9812319B1 (en) 2016-07-06 2016-07-06 Method for forming film filled in trench without seam or void
US15/203,632 2016-07-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230105421A Division KR20230121715A (ko) 2016-07-06 2023-08-11 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법

Publications (2)

Publication Number Publication Date
KR20180005630A KR20180005630A (ko) 2018-01-16
KR102567794B1 true KR102567794B1 (ko) 2023-08-17

Family

ID=60189511

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170086151A KR102567794B1 (ko) 2016-07-06 2017-07-06 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법
KR1020230105421A KR20230121715A (ko) 2016-07-06 2023-08-11 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230105421A KR20230121715A (ko) 2016-07-06 2023-08-11 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법

Country Status (3)

Country Link
US (1) US9812319B1 (ko)
KR (2) KR102567794B1 (ko)
TW (1) TWI730083B (ko)

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10084040B2 (en) 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
TWI733850B (zh) * 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10971391B2 (en) * 2018-06-13 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap fill
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7138130B2 (ja) * 2020-03-04 2022-09-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040126990A1 (en) * 2002-12-26 2004-07-01 Fujitsu Limited Semiconductor device having STI without divot its manufacture
US20060252228A1 (en) * 2005-05-05 2006-11-09 Pei-Ren Jeng Shallow trench isolation structure having reduced dislocation density
US20140138779A1 (en) * 2012-11-20 2014-05-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US20150099342A1 (en) * 2013-10-04 2015-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of Forming a Trench Structure
US20150179501A1 (en) 2013-12-24 2015-06-25 Ritesh Jhaveri Techniques for trench isolation using flowable dielectric materials

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040060417A (ko) * 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 소자분리방법
CN100466197C (zh) * 2004-03-16 2009-03-04 石川岛播磨重工业株式会社 半导体装置的制造方法
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040126990A1 (en) * 2002-12-26 2004-07-01 Fujitsu Limited Semiconductor device having STI without divot its manufacture
US20060252228A1 (en) * 2005-05-05 2006-11-09 Pei-Ren Jeng Shallow trench isolation structure having reduced dislocation density
US20140138779A1 (en) * 2012-11-20 2014-05-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US20150099342A1 (en) * 2013-10-04 2015-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of Forming a Trench Structure
US20150179501A1 (en) 2013-12-24 2015-06-25 Ritesh Jhaveri Techniques for trench isolation using flowable dielectric materials

Also Published As

Publication number Publication date
KR20180005630A (ko) 2018-01-16
TWI730083B (zh) 2021-06-11
US9812319B1 (en) 2017-11-07
TW201812079A (zh) 2018-04-01
KR20230121715A (ko) 2023-08-21

Similar Documents

Publication Publication Date Title
KR102567794B1 (ko) 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법
TWI804706B (zh) 氧化矽之拓撲選擇性膜形成之方法
US11251040B2 (en) Cyclical deposition method including treatment step and apparatus for same
TWI834919B (zh) 氧化矽之拓撲選擇性膜形成之方法
TWI756350B (zh) 藉由熱ald及peald沉積氧化物膜之方法
CN111684566A (zh) 用于氮化硅薄膜的处理方法
US9570287B2 (en) Flowable film curing penetration depth improvement and stress tuning
US9029272B1 (en) Method for treating SiOCH film with hydrogen plasma
KR100660890B1 (ko) Ald를 이용한 이산화실리콘막 형성 방법
KR102701197B1 (ko) 실리콘 카바이드 막들의 컨포멀한 증착
KR20180116761A (ko) 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법
KR20170074193A (ko) 고상 확산 공정을 위한 실리콘 산화물 캡층의 형성 방법
KR102322809B1 (ko) 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층
US20190131126A1 (en) Method and apparatus for forming silicon oxide film
JPH05102040A (ja) 成膜方法
CN112640061B (zh) 基板处理装置、半导体装置的制造方法及存储介质
CN114342047A (zh) 基板处理装置、等离子体生成装置、半导体装置的制造方法以及程序
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US12094769B2 (en) Methods for filling a gap and related systems and devices
KR102269343B1 (ko) 박막 증착 방법
TW202303687A (zh) 基板處理方法
KR20220041751A (ko) 반도체 처리 방법
KR20240134095A (ko) 실리콘 카바이드 막들의 컨포멀한 증착
Won et al. SiO x process chemistry development using microwave plasma CVD
KR20180124788A (ko) 트렌치의 측벽 또는 평탄면 상에 실리콘 질화물막을 선택적으로 형성하기 위한 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right