TW201812079A - 形成填入溝槽且無接縫或空隙的膜的方法 - Google Patents

形成填入溝槽且無接縫或空隙的膜的方法 Download PDF

Info

Publication number
TW201812079A
TW201812079A TW106113604A TW106113604A TW201812079A TW 201812079 A TW201812079 A TW 201812079A TW 106113604 A TW106113604 A TW 106113604A TW 106113604 A TW106113604 A TW 106113604A TW 201812079 A TW201812079 A TW 201812079A
Authority
TW
Taiwan
Prior art keywords
film
filled
trench
gas
precursor
Prior art date
Application number
TW106113604A
Other languages
English (en)
Other versions
TWI730083B (zh
Inventor
深澤篤毅
福田秀明
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201812079A publication Critical patent/TW201812079A/zh
Application granted granted Critical
Publication of TWI730083B publication Critical patent/TWI730083B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Abstract

一種形成填入基板溝槽且無接縫或空隙之膜的方法,包括:使用鹵化物化合物作為前驅物在置於一反應空間中之基板溝槽中沉積一順應性SiN膜,直至該溝槽以作為一填充膜之順應性SiN膜填充,該膜具有接縫及/或空隙;及接著氧化該填充膜而非沉積膜,以使該填充膜膨脹直到該填充膜之接縫及/或空隙減少。

Description

形成填入溝槽且無接縫或空隙的膜的方法
本發明一般而言係關於一種形成填入半導體基板溝槽且無接縫及/或空隙之膜的方法。
膜填充技術通常使用塗覆液體之旋塗方法及利用膜之流動性之流動式CVD方法。由於該等方法利用液體之表面張力,就填充性質而言該等方法是極佳的。然而,在某些情況下,由於填充膜之量取決於圖案之尺寸而變化,發生稱為「圖案負載效應」的問題。進一步地,在該等方法中,在該膜經填入溝槽後,需要藉由退火硬化該填充膜之程序。在該退火程序中,該填充膜易於收縮,當圖案尺寸為奈米級時由於膜收縮造成該膜變形或皺縮(當使用該膜作為自支撐膜時)。另外,當該填充膜在該膜填入溝槽中後由於其表面張力而遷移至其它溝槽時,有時會發生膜變形,其中該膜之遷移對圖案施加應力,造成圖案的變形或損壞。
亦可使用利用提供極佳階梯覆蓋之原子層沉積(ALD)的膜填充技術。然而,由於ALD在溝槽圖案之表面上均勻地沉積順應性膜,不可避免地產生在溝槽頂部上之接縫及溝槽內之空隙。由於接縫及/或空隙通常造成積體電路製造的問題,需要解決此種問題的新技術。
為提供本發明之內容之目的,任何與相關技術關聯之問題及 解決方案之討論已單獨包括在本揭露中,且不應視為承認在作出本發明時知曉任何或全部討論。
在某些具體實施例中,與使用膜之液體形式形成嵌埋膜之習知方法不同,而是藉由原子層沉積(ALD)而不使用膜之液體形式下沉積SiN膜作為嵌埋膜,且雖然使用ALD,填入溝槽之膜不具有明顯接縫,例如,與簡單地藉由ALD形成之嵌埋膜相比接縫明顯地減少。由於以ALD沿著溝槽之側壁及在溝槽之底部上以及在圍繞該溝槽之頂表面上沉積順應性膜,並填充該溝槽,最不可避免地在其中順應性膜之邊緣接觸處接近該溝槽之中心之填充膜上形成接縫。並且,在填充膜中常不可避免地形成空隙。在某些具體實施例中,對填入溝槽之SiN膜進行取代反應,其中以Si-O鍵取代Si-N鍵,造成該膜膨脹,使得在該填充膜之頂部上形成之接縫減少。在某些具體實施例中,該膜之膨脹程度藉由使用以下作為前驅物來控制:含鹵素矽烷(例如:單矽烷、二矽烷、三矽烷)、含鹵素-烴矽烷(例如:單矽烷、二矽烷、三矽烷)、含鹵素矽烷胺、或含鹵素-烴矽烷胺。較佳地,使用含有烴之鹵化物前驅物以抑制造成溝槽圖案變形的過度膨脹。當使用含有烴之鹵化物前驅物以形成嵌埋膜,接著氧化以Si-O鍵取代Si-N鍵時,存在於該膜之烴之一部份在氧化期間解離成含有OH鍵之雜質,由於該等雜質的解離,藉由該膜之收縮而減少該膜之膨脹。例如,當前驅物不具有烴時,膜膨脹10%或更多,而當前驅物具有烴時,該膜膨脹少於10%。在前驅物中之烴含量可控制膜之膨脹程度。
在某些具體實施例中,在藉由氧化膨脹該膜後,進行在惰性氣體氣氛(例如:氮氣氛)中之退火以移除在氧化程序期間包括在該膜中之諸如濕氣之雜質。
基於總結本發明之態樣及優於相關技術所達成之優點的目 的,在本揭露中描述本發明之某些目的及優點。當然,應了解根據本發明之任何特定具體實施例可不必達成所有此等目標或優點。因此,例如,本發明領域具通常知識者將認知本發明可以達到或最佳化本文教示之一優點或一群優點之方式下體現或進行,而不必達成如可在本文教示或建議之其它目標或優點。
本發明之其它態樣、特徵、及優點將由以下實施方式變得顯而易見。
1‧‧‧基板
2‧‧‧導電平板電極/低電極
3‧‧‧反應室
4‧‧‧導電平板電極/噴淋板/上方電極
5‧‧‧轉移室
6‧‧‧排放管線
7‧‧‧排放管線
11‧‧‧內部
12‧‧‧電接地
13‧‧‧圓形管路
14‧‧‧分離板
16‧‧‧內部/轉移區
20‧‧‧瓶(儲存器)、HRF功率
21‧‧‧氣體管線、基板
22‧‧‧氣體管線、SiN膜
23‧‧‧氣體管線、空隙
24‧‧‧密封氣體管線、接縫
25‧‧‧經膨脹之SiO膜
26‧‧‧脫水SiO膜
27‧‧‧溝槽
a、b、c、d、e、f‧‧‧閥
S11~S15‧‧‧製程步驟
本發明之此等及其它特徵現將參考較佳具體實施例之圖式描述,該等較佳具體實施例僅僅意欲說明而非限制本發明。該等圖式基於說明性目的而大幅地簡化且不必按比例繪製。
圖1A係可用在本發明之一具體實施例中用於沉積一膜之電漿增強原子層沉積(PEALD)裝置之示意圖。
圖1B說明可用於本發明之具體實施例中使用流通系統(FPS)之前驅物供應系統之示意圖,其中(a)指示攜載前驅物之載體氣體,及(b)指示未攜載前驅物之載體氣體。
圖2說明部份製造之積體電路的示意截面圖,顯示根據本發明之具體實施例在溝槽中之膜填充程序(a)、(b)、及(c)。
圖3為根據本發明之具體實施例之氮化矽填充膜之傅立葉轉換紅外線(FTIR)光譜。
圖4係根據本發明之實施例在一溝槽中形成填充膜之流程圖。
圖5顯示根據本發明之一具體實施例在沉積SiN膜之一循環中之PEALD示意程序順序,其中灰色格子表示為開啟(ON)狀態而白色格子表示為關閉(OFF)狀態,且每一行之寬度不代表每一程序之持續時間。
在本揭露中,「氣體」可包括蒸發固體及/或液體,且可由單一氣體或氣體混合物構成,視內容而定。同樣地,冠詞「一」或「一種」係指一種類或包括多種類之屬,視內容而定。在本揭露中,經由噴淋頭引入反應室之製程氣體可包含一含矽前驅物及一添加氣體、基本上由其組成、或由其組成。該添加劑氣體包括用於當施加RF功率至該添加氣體時氧化及/或氮化該前驅物之一氣體。該前驅物及該添加氣體可以一混合氣體或分開地引入一反應空間中。可用載體氣體(諸如稀有氣體)引入前驅物。除製程氣體外之氣體,即未通過噴淋頭引入之氣體可用於例如密封反應空間,其包括諸如稀有氣體之密封氣體。在一些具體實施例中,「膜」意指實質上無針孔在垂直於厚度方向上之方向連續延伸以覆蓋整個目標或關注表面之一層,或僅係覆蓋目標或關注表面之一層。在某些具體實施例中,「層」意指在一表面上形成之具有某厚度之一結構或膜或非膜結構之同義字。一膜或層可由具有某種特性之不連續單一膜或層,或多個膜或層構成,且相鄰膜或層之間之邊界可或可不為清晰的,且可基於物理、化學、及/或任何其它特性、形成製程或順序,及/或相鄰膜或層之功能或目的建立。此外,在本揭露中,任何二個數目之變數可構成變數之可作用範圍,因為可作用範圍可基於例行工作確定,且所指出之任何範圍可包括或排除端點。此外,任何所指出之變數之值(無論其等是否係以「約」指出)可係指精確值或近似值,且包括等效值,且在一些具體實施例中可指平均值、中值、代表值、多數等。
在某些具體實施例中術語「由...構成」及「具有」獨立地指「通常或廣泛地包含」、「包含」、「基本上由...組成」、或「由...組成」。
就本揭露而言,在其中未指定條件及/或結構之該揭露中,本發明領域具通常知識者考量本揭露作為例行實驗可立即提供此等條件及/ 或結構。在所有揭示之具體實施例中,針對所欲目的,用於具體實施例中之任何元件可用任何與其等同之元件替代,包括該等明確地、必要地、或本質地於本文中揭示者。進一步地,本發明可同樣地應用在裝置及方法中。
在此揭露中,任何所定義之意義不必排除在某些具體實施例中之普通及習知之意義。
在此揭露中,「實質上為零」或諸如此類可係指無形之量、少於可偵測之量、不會有形地影響目標或所欲性質之量、或該技術領域中具通常知識者認知近乎為零之量,諸如在某些具體實施例中相對於總值或參考值之少於10%、少於5%、少於1%、或其任何範圍。
在某些具體實施例中,術語「前驅物」通常指參與產生另一化合物之化學反應之化合物,且尤其指構成一膜基質或一膜之主要骨架之化合物,而該術語「反應物」係指活化一前驅物、改質一前驅物、或催化一前驅物之反應的一化合物。
在本揭露中,「包含一Si-N鍵」可指由一或多個Si-N鍵特徵化,其具有實質上由一或多個Si-N鍵構成之主要骨架,及/或具有實質上由一或多個Si-N鍵構成之取代基。在本揭露中,「固化」係指其間發生化學反應(諸如聚合或交聯)及/或物理作用(諸如:蒸發)造成膜基質更硬、更韌、及/或更穩定之鏈結的程序。在此揭露中,「退火」係指在其間處理一材料以使其呈穩定形式之程序,例如存在於一組份中之末端基(諸如醇基及羥基)以更穩定基團(諸如:Si-Me基)置換及/或形成一更穩定之形式(諸如Si-O鍵)。在本揭露中,術語「退火」亦指類似於固化之程序,其間發生一取代反應,例如以Si-O鍵取代Si-N鍵之程序,造成膜之膨脹。在某些具體實施例中,固化及退火定義為互斥之程序或者可互換地定義。
該等具體實施例將就較佳具體實施例說明。然而,本發明不限於該等較佳具體實施例。
在某些具體實施例中,一種用於形成填入基板溝槽且無接縫 或空隙之膜的方法包含:(i)使用鹵化物化合物作為前驅物在置於一反應空間中之基板溝槽中沉積一順應性SiN膜;(ii)持續步驟(i)直至該溝槽以作為一填充膜之順應性SiN膜填充,該膜具有接縫及/或空隙;(iii)在步驟(ii)之後,氧化該填充膜而非沉積膜以使該填充膜膨脹;及(iv)持續步驟(iii)直到該填充膜之接縫及/或空隙減少。
在此揭露中,術語「接縫」指由在填充膜中形成之邊緣交界形成之槽狀凹陷或下陷,且該「接縫」可使用掃描穿透式電子顯微鏡(STEM)或穿透式電子顯微鏡(TEM)確認,其中若在填充膜之區域中觀察顯示清晰的縱線,則「接縫」係「存在」,且若在填充膜區域中照片顯示可辨識之縱線,則「接縫」係「稍微存在」。
在本揭露中,術語「空隙」係指在填充膜中形成之通常密閉之空的空間(例如,呈橢圓形),且該「空隙」可用類似確認「接縫」之方式使用填充膜之垂直橫截面來確認。
在本揭露中,填充膜之術語「膨脹」係指與氧化程序前之填充膜之體積相較,當氧化程序完成時之填充膜之體積增加,且「膨脹」可基於以膜厚度計測量之填充膜厚度之變化來測定。
在本揭露中,填充膜或可填充膜亦係指一嵌埋膜。
在某些具體實施例中,在步驟(iii)及(iv)中之該氧化程序係藉由Si-O鍵取代Si-N鍵之程序(例如:Si-NH+H2OSi-O+NH3↑)。藉由以Si-O鍵取代Si-N鍵,由於氧具有高於氮之原子數,構成該膜之原子數增加,造成該膜膨脹。在某些具體實施例中,藉由取代反應,SiN膜可轉化為實質上由SiOH構成之膜,例如,該膜顯示氧化矽膜而非氮化矽膜之特性。例如,氮化矽膜轉化成氧化矽膜可基於其折射率(RI)之變化來確認。氮化矽膜之折射率在633nm之頻率下量測時大約為1.85,且氧化矽膜之折射率在633nm之頻率下量測時大約為1.47至1.5。因此,若膜之折射率自約1.85變化至約1.47至1.5,則其可確認該膜已自由SiN構成者轉換成由SiO構成之另一 者。
在某些具體實施例中,持續步驟(iv)直至填充膜之膨脹率在5%至20%,較佳地15%或更低(例如5%至10%)之範圍中。若該膜之膨脹率太高,溝槽圖案可能變形,造成問題。若該膜之膨脹率太低,可能不足以減少接縫/空隙。
在某些具體實施例中,在步驟(iii)及(iv)中之氧化程序係藉由以下進行:在H2O或H2O2之氣氛中退火該填充膜(例如:Si-NH+H2OSi-O+NH3)、以UV照射固化該填充膜、使用氧化氣體加熱該填充膜(例如:Si-NH+O2 Si-O+NH↑)、或組合上述任一者進行。較佳地,該氧化程序包含在H2O或H2O2之氣氛下退火該填充膜。
在某些具體實施例中,在步驟(i)及(ii)中之該沉積程序係原子層沉積(ALD)之程序。在某些具體實施例中,該ALD程序係電漿增強ALD(PEALD)之程序。為形成無接縫/空隙之嵌埋膜(填充膜),在溝槽中沉積之膜應具有高順應性,例如:90%或更高。若該膜之順應性太低,則接縫/空隙將會太大而難以減少。
在某些具體實施例中,該前驅物在其分子中包含矽、鹵素、及烴。當前驅物含有烴組份時,所得SiN膜亦含有烴組份。諸如CH3之烴組份與在氧化程序期間產生之OH反應,且形成醇,諸如CH3OH,其在氧化程序期間蒸發,造成膜的收縮。在某些具體實施例中,該前驅物係選自由以下組成之群組:SiH2X2、SiHX2Y、Si2X6、Si3X8、Si2X4Y2、SiX2Y4、Si3X2Y4、Si3X4Y2、Si3NH8X、及Si3NH6X3,其中X係鹵素且Y係烴。在某些具體實施例中,ALD之程序使用反應氣體,該氣體係選自由以下組成之群組:N2、N2/H2、NxHy、及NxHyCz,其中x、y、及z係整數。
在某些具體實施例中,該方法進一步包含在步驟(iv)、(v)後在惰性氣體之氣氛中退火該填充膜。藉由惰性氣體退火,在氧化程序期間產生之濕氣可自該膜移除。儘管該膜可在該惰性氣體退火期間自該膜移除 濕氣時可能稍微收縮,該膜的收縮程度不夠高不足以產生新的空隙及/或接縫。另外,在惰性氣體退火期間,亦可移除保留在該膜中之烴組份。在某些具體實施例中,該惰性氣體通常係氮氣。然而,諸如H2及惰性氣體(例如Ar)之其它非活性氣體可用作惰性氣體。在某些具體實施例中,持續步驟(v)直至在該填充膜中實質上未偵測到O-H鍵。
在某些具體實施例中,該溝槽具有50nm或更低之寬度及2至5之高寬比。若該溝槽之寬度太寬及/或該高寬比太高,則將難以形成無接縫/空隙之嵌埋膜。在該溝槽中沉積之SiN膜之厚度通常大約為該溝槽寬度的一半(例如該溝槽之寬度之45%至50%),前提為該膜之順應性為90%至100%。
某些具體實施例將就該等圖式說明。然而,本發明不限於該等具體實施例。
圖4係根據本發明之具體實施例在一溝槽中形成填充膜之流程圖。在此圖中,在形成填入基板之溝槽中且無接縫或空隙之膜的方法中,首先使用鹵化物化合物作為前驅物在置於一反應空間中之基板溝槽中沉積一順應性SiN膜(S11)。持續步驟S11直至該溝槽以作為一填充膜之順應性SiN膜填充,該膜具有接縫及/或空隙(S12)。在步驟S12後,氧化該填充膜而非沉積膜,以使該填充膜膨脹(S13)。持續步驟S13直到該填充膜之接縫及/或空隙減少(S14)。在步驟S14後,在惰性氣體之氣氛中退火該填充膜(S15)。
圖2說明部份製造之積體電路的示意截面圖,顯示根據本發明之具體實施例在溝槽中對應於步驟S11及S12之膜填充程序(a)、對應於步驟S13及S14之膜填充程序(b)、及對應於步驟S15之膜填充程序(c)。在程序(a)中,在基板21之溝槽27中沉積SiN膜22。該SiN膜具有空隙23及接縫24。在程序(b)中,氧化SiN膜以將Si-N鍵以Si-O鍵取代,因此,該SiN膜轉化為SiO膜25並膨脹。由於膨脹,經膨脹之SiO膜25實質上 無空隙,且接縫明顯減少(在某些具體實施例中,該接縫可實質上消失或無法辨認)。在程序(c),將經膨脹之SiO膜在惰性氣體下退火以移除濕氣,藉以形成脫水SiO膜26。
圖5顯示根據本發明之一具體實施例在沉積SiN膜之一循環中之PEALD示意程序順序,其中灰色格子表示為開啟(ON)狀態而白色格子表示為關閉(OFF)狀態,且每一行之寬度不代表每一程序之持續時間。在本具體實施例中,PEALD之一循環包含「饋送」,其中含Si前驅物氣體(Si-前驅物)經由攜載Si前驅物之載體氣體在不施加RF功率至反應空間下饋入反應空間中,且稀釋氣體及反應氣體亦饋入該反應空間,藉以經由自限制吸附作用將該蝕刻氣體化學吸附在基板表面上;「清洗」,其中不饋入Si前驅物至反應空間,同時連續地將載體氣體、稀釋氣體及反應氣體饋入反應空間而不施加RF功率,藉以自該基板表面移除非化學吸附氣體及過量氣體;「RF」,其中施加RF功率至反應空間,同時將載體氣體、稀釋氣體、及反應氣體連續地饋入反應空間而不饋送Si前驅物,藉以經由電漿表面反應以呈激發狀態之反應氣體沉積介電層;及「清洗」,其中載體氣體、稀釋氣體、及反應氣體連續地饋入反應空間而不饋送Si前驅物且不施加RF功率至反應空間,藉以自基板表面移除副產物及過量氣體。該載體氣體可由該反應氣體構成。由於該載體氣體之連續流作為恆定流進入該反應空間中,該Si前驅物間歇地或脈衝地注入該恆定流中,可有效地進行清洗以快速地自該層表面移除過量氣體及副產物,藉以有效地持繼續多次ALD循環。
在上述程序順序中,使用連續供應之載體氣體以脈衝供應該前驅物。使用流通系統(FPS)完成此程序,其中載體氣體管線提供有具有前驅物儲存器(瓶)之改道管線,且切換主要管線及改道管線,其中當一載體氣體僅意欲饋送至一反應室時,關閉該改道管線,而當意欲饋送該載體氣體及一前驅物氣體兩者至該反應室中時,關閉該主要管線,且該載體氣體流經該改道管線並與該前驅物氣體一起自該瓶流出。在此方式下,該載體氣 體可連續地流入該反應室內,並可藉由切換該主要管線及該改道管線脈衝地攜載該前驅物氣體。圖1B說明根據本發明之一具體實施例使用一流通系統(FPS)之一前驅物供應系統(黑色閥係指該等閥係關閉的)。如圖1B的(a)所示,當饋送一前驅物至一反應室中時(未顯示),首先,一載體氣體(諸如Ar(或He))流經具有閥b及c之一氣體管線,且接著進入一瓶(儲存器)20。該載體氣體自該瓶20流出同時攜載對應於該瓶20內之蒸氣壓之一量的一前驅物氣體,並流經具有閥f及e之一氣體管線,且接著與該前驅物一起饋送至該反應室中。上文中,關閉閥a及d。當僅饋送該載體氣體(惰性氣體)至該反應室中時,如圖1B中之(b)中所示,該載體氣體流經具閥a之氣體管線同時旁流至該瓶20。在上文中,閥b、c、d、e、及f關閉。
可在載體氣體之輔助下提供該前驅物。由於ALD為自限制吸附反應製程,所沉積之前驅物分子數係以反應表面位點數測定且與飽和後之前驅物暴露無關,及該前驅物之供應係使得藉以每一循環之該等反應表面位點飽和。可原位產生沉積電漿,例如,於整個沉積循環中連續流動之氨氣中。在其它具體實施例中,該電漿可遠端地產生並提供至該反應室。
如上所提及,每一沉積循環之每一脈衝或階段較佳地係自限制。在每一階段中供應過量反應物以飽和該易反應之結構表面。表面飽和確保反應物佔據所有可得之反應位點(例如,受到物理尺寸或「立體阻礙」限制)且因此確保優異之階梯覆蓋。在某些具體實施例中,可減少一或多個反應物之脈衝時間以使得不達到完全飽和且在基板表面上吸附少於一個單層。
可使用例如包括圖1A所示之裝置之任何合適裝置進行該製程循環。圖1A係可用在本發明之某些實具體施例中之PEALD裝置之示意圖,希望連同經程式化以進行以下描述之順序控制。在本圖式中,藉由平行地並彼此相對地在反應室3之內部11(反應區)中提供一對導電平板電極4、2,向一側施加HRF功率(13.56MHz或27MHz)20,及電接地另一側 12,在電極間激發電漿。在較低之台2(低電極)上提供一溫度調節器,且其上所置之基板1之溫度在所給溫度下保持恆定。上方電極4亦用作一噴淋板,且將反應氣體(及惰性氣體)及前驅物氣體分別通過氣體管線21及氣體管線22,及通過該噴淋板4引入該反應室3內。此外,在該反應室3中,提供具一排放管線7之圓形管路13,在該反應室3之內部11中之氣體通過其排放。另外,經由一氣體管線23將稀釋氣體引入該反應室3中。進一步地,置於該反應室3下之轉移室5提供有一密封氣體管線24以經由該轉移室5之內部16(轉移區)將密封氣體引入該反應室3之內部11內,其中提供用於分開該反應區及該轉移區之一分離板14(一晶圓通過一閘閥轉移至該轉移室5或自其轉移,該圖省略該閘閥)。該轉移室亦提供有排放管線6。在某些具體實施例中,該多元素膜之沉積及表面處理係在相同反應空間中進行,使得可在未暴露該基板至空氣或其它含氧氣氛下連續地進行所有該等步驟。在某些具體實施例中,可使用一遠端電漿單元以激發一氣體。
在某些具體實施例中,在圖1A中所示之裝置中,可使用在圖1B(先前所述)中說明之切換一惰性氣體流及一前驅物氣體流之系統,以在無該反應室之實質上波動之壓力下脈衝式地引入該前驅物氣體。
在某些具體實施例中,PEALD可在下表1中所示之條件下進行。
*)可施加大約0.85至1.41W/cm2(對於300mm晶圓為600至1000W)至不同尺寸之基板,諸如200-mm基板及450-mm基板;同樣地,在本揭露中「W」可轉換為「W/cm2」。
在某些具體實施例中,可在下表2所示之條件下藉由熱ALD沉積SiN膜。
在某些具體實施例中,可在下表3所示之條件下藉由H2O退火進行氧化程序。
在某些具體實施例中,可在下表4所示之條件下藉由UV固化進行氧化程序。
在某些具體實施例中,可在下表5所示之條件下使用氧化氣體藉由熱處理進行氧化程序。
在某些具體實施例中,可在下表6所示之條件下進行惰性氣體退火。
在某些具體實施例中,可使用雙室反應器(用於加工彼此緊密放置之晶圓的兩區或隔室),其中可經由一共用管線供應反應氣體及惰性氣體,而經由非共用管線供應前驅物氣體。
本發明領域具通常知識者將了解本裝置包括一或多個控制器(未示出),其經程式化或是經組態以使得進行本文他處所描述之沈積及反應器清潔程序。該(等)控制器與各種電源、加熱系統、幫浦、機器人、及反應器之氣體流量控制器或閥連通,如本發明領域具通常知識者所了解。
本發明進一步地參照以下工作實施例解釋。然而,該等實施例未意欲限制本發明。在其中未具體指明條件及/或結構之實施例中,本發明領域具通常知識者考量本揭露作為例行實驗可容易地提供此等條件及/或結構。並且,應用在該特定實施例中之數字在某些具體實施例中可以至少±50%之範圍修正,且該等數字為近似值。
實施例 實施例1
在下表9所示之條件下及圖1A及圖1B中說明之PEALD裝置使用圖2所說明之順序在下表7(一般條件)及表8(針對每一實例施之條件)所示之條件下,在具有約30nm之開口寬度、105nm之深度、及約3.5之高寬比(溝槽間隔為130nm)之圖案表面之300mm基板上形成SiN膜。膜厚度約為15nm。
溝槽中之每一填充膜經如下評估:在SiN沉積後之接縫:使用掃描穿透式電子顯微鏡(STEM),若觀察顯示在填充膜之區域中清晰的縱線,則「接縫」係「存在」,若照片顯示在填充膜區域中之可辨識之縱線,則「接縫」係「稍微存在」,且若照片顯示在填充膜區域中之無可辨識之縱線,則「接縫」係「不存在」。
在H2O/H2O2退火後之接縫:以如上相同之方式評估。
在H2O/H2O2退火後之膨脹率(%):基於在H2O/H2O2退火之前及之後以膜厚度計測量之填充膜之厚度變化測定膨脹率。
在H2O/H2O2退火後之變形/損壞:當觀察填充溝槽之橫截面之STEM照片時,若底膜(核心材料)變形(例如:在相鄰溝槽間之核心材料傾斜)至溝槽開口之寬度改變10%或更高的程度時,變形/損壞係「存在」,且若非如此,則變形/損壞係「不存在」。
折射率(RI):填充膜之折射率(RI)在633nm之頻率下測量。 若RI大約為1.85,則測定該膜為SiN膜,且若RI大約為1.47至1.50,則測定該膜為SiO膜。
該等結果顯示在下表10中。
如表10所示,在所有實施例中,藉由H2O/H2O2退火,成功地將該SiN膜轉化為SiO膜,且該接縫有效地減少而不造成核心材料之變形/損壞。
實施例2
在下表11所示之條件下根據以上實施例1及2之填充膜接受N2退火以自該膜移除濕氣。
圖3係氮化矽填充膜之傳立葉轉換紅外線(FTIR)光譜。如圖3所示,藉由N2退火,自該填充膜移除其中存在之實質上所有水份。進一步地,圖3亦確認該膜由SiO構成,且藉由N2退火,稍微留在該填充膜中之諸如Si-H鍵之其它雜質亦經分離。
本發明領域具通常知識者應了解可在不背離本發明之精神 下進行許多及各種修正。因此,應清楚了解本發明之形式僅作說明之用,且未意欲限制本發明之範疇。

Claims (16)

  1. 一種形成填入基板溝槽且無接縫或空隙之膜的方法,其包含:(i)使用鹵化物化合物作為前驅物在置於一反應空間中之基板溝槽中沉積一順應性SiN膜;(ii)持續步驟(i)直至該溝槽以作為一填充膜之順應性SiN膜填充,該膜具有接縫及/或空隙;(iii)在步驟(ii)後,氧化該填充膜而非沉積膜,以使該填充膜膨脹;及(iv)持續步驟(iii),直到該填充膜之接縫及/或空隙減少。
  2. 如申請專利範圍第1項所述之方法,其中在步驟(iii)及(iv)中之氧化程序係藉由以下進行:在H 2O或H 2O 2之氣氛下退火該填充膜、以UV照射固化該填充膜、使用氧化氣體加熱該填充膜、或組合上述任一者進行。
  3. 如申請專利範圍第2項所述之方法,其中該氧化程序包含在H 2O或H 2O 2之氣氛下退火該填充膜。
  4. 如申請專利範圍第1項之所述方法,其中在步驟(iii)及(iv)中之該氧化程序係以Si-O鍵取代Si-N鍵之程序。
  5. 如申請專利範圍第1項所述之方法,其中持續步驟(iv)直至填充膜之膨脹率在5%至20%之範圍中。
  6. 如申請專利範圍第1項所述之方法,其中持續步驟(iv)直至SiN膜轉化成實質上由SiOH所構成之膜。
  7. 如申請專利範圍第1項所述之方法,其中在步驟(i)及(ii)中之該沉積程序係原子層沉積(ALD)之程序。
  8. 如申請專利範圍第7項所述之方法,其中該ALD程序係電漿增強ALD之程序。
  9. 如申請專利範圍第7項所述之方法,其中該前驅物在其分子中包含矽、鹵素、及烴。
  10. 如申請專利範圍第7項所述之方法,其中該前驅物係選自由以下組成之群組:SiH 2X 2、SiHX 2Y、Si 2X 6、Si 3X 8、Si 2X 4Y 2、SiX 2Y 4、Si 3X 2Y 4、Si 3X 4Y 2、Si 3NH 8X、及Si 3NH 6X 3,其中X係鹵素,且Y係烴。
  11. 如申請專利範圍第7項所述之方法,其中ALD之程序使用選自由以下組成之群組之反應氣體:N 2、N 2/H 2、N xH y、及N xH yC z,其中x、y、及z係整數。
  12. 如申請專利範圍第1項所述之方法,其中在溝槽中之該順應性SiN膜之順應性係90%或更高。
  13. 如申請專利範圍第1項所述之方法,其進一步包含在步驟(iv)、(v)後在惰性氣體之氣氛中退火該填充膜。
  14. 如申請專利範圍第13項所述之方法,其中該惰性氣體係氮氣。
  15. 如申請專利範圍第13項所述之方法,其中持續步驟(v)直至在該填充膜中實質上未偵測到O-H鍵。
  16. 如申請專利範圍第1項所述之方法,其中該溝槽具有50nm或更低之寬度及2至5之高寬比。
TW106113604A 2016-07-06 2017-04-24 形成填入溝槽且無接縫或空隙的膜的方法 TWI730083B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/203,632 US9812319B1 (en) 2016-07-06 2016-07-06 Method for forming film filled in trench without seam or void
US15/203,632 2016-07-06

Publications (2)

Publication Number Publication Date
TW201812079A true TW201812079A (zh) 2018-04-01
TWI730083B TWI730083B (zh) 2021-06-11

Family

ID=60189511

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106113604A TWI730083B (zh) 2016-07-06 2017-04-24 形成填入溝槽且無接縫或空隙的膜的方法

Country Status (3)

Country Link
US (1) US9812319B1 (zh)
KR (2) KR102567794B1 (zh)
TW (1) TWI730083B (zh)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10084040B2 (en) 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
TWI733850B (zh) * 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10971391B2 (en) 2018-06-13 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap fill
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7138130B2 (ja) * 2020-03-04 2022-09-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004207564A (ja) * 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置
KR20040060417A (ko) * 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 소자분리방법
US7645677B2 (en) * 2004-03-16 2010-01-12 Ishikawajima-Harima Heavy Industries Co., Ltd. Method for manufacturing semiconductor device
US7915173B2 (en) * 2005-05-05 2011-03-29 Macronix International Co., Ltd. Shallow trench isolation structure having reduced dislocation density
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US9190486B2 (en) * 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9396986B2 (en) * 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials

Also Published As

Publication number Publication date
US9812319B1 (en) 2017-11-07
KR20180005630A (ko) 2018-01-16
KR20230121715A (ko) 2023-08-21
TWI730083B (zh) 2021-06-11
KR102567794B1 (ko) 2023-08-17

Similar Documents

Publication Publication Date Title
TW201812079A (zh) 形成填入溝槽且無接縫或空隙的膜的方法
TWI817004B (zh) 用於重組非晶碳聚合物膜之方法
KR102627584B1 (ko) 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
CN111048400B (zh) 通过循环cvd形成保形碳化硅膜的方法
TWI713551B (zh) 以peald形成氮化鋁基膜的方法
KR20210100535A (ko) 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
CN113249706A (zh) 用于沉积间隙填充流体的方法及相关系统和设备
US7220461B2 (en) Method and apparatus for forming silicon oxide film
JP2021019198A (ja) トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN113270310A (zh) 形成介电材料层的方法、结构和装置及形成层的系统
US7148155B1 (en) Sequential deposition/anneal film densification method
US7829144B2 (en) Method of forming a metal film for electrode
JP2020136678A (ja) 基材表面内に形成された凹部を充填するための方法および装置
TW202326854A (zh) 以電漿輔助沉積來沉積填縫層的方法
CN110670047A (zh) 通过脉冲等离子体辅助沉积将无硅含碳膜沉积为间隙填充层的方法
US7928006B2 (en) Structure for a semiconductor device and a method of manufacturing the same
WO2010095330A1 (ja) シリコン酸化膜の成膜方法および半導体装置の製造方法
TW202246575A (zh) 用於填充間隙之方法以及相關半導體處理設備
CN114864478A (zh) 填充衬底表面上的凹部的方法、系统及结构
TWI812757B (zh) 形成熱穩定有機矽聚合物膜之方法
TWI839544B (zh) 形成形貌受控的非晶碳聚合物膜之方法
KR101026477B1 (ko) 반도체 소자의 캐패시터 형성방법
JP2006173299A (ja) 半導体装置の製造方法
JP2013251310A (ja) 半導体装置の製造方法
CN107794515A (zh) 通过形成基于烃的超薄膜对层进行保护的方法