KR102167625B1 - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR102167625B1
KR102167625B1 KR1020130127005A KR20130127005A KR102167625B1 KR 102167625 B1 KR102167625 B1 KR 102167625B1 KR 1020130127005 A KR1020130127005 A KR 1020130127005A KR 20130127005 A KR20130127005 A KR 20130127005A KR 102167625 B1 KR102167625 B1 KR 102167625B1
Authority
KR
South Korea
Prior art keywords
gate electrode
insulating layer
layer pattern
width
pattern
Prior art date
Application number
KR1020130127005A
Other languages
English (en)
Other versions
KR20150047218A (ko
Inventor
유재현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020130127005A priority Critical patent/KR102167625B1/ko
Priority to US14/503,811 priority patent/US9508820B2/en
Publication of KR20150047218A publication Critical patent/KR20150047218A/ko
Application granted granted Critical
Publication of KR102167625B1 publication Critical patent/KR102167625B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78609Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66719With a step of forming an insulating sidewall spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

반도체 장치는 기판 상에 형성된 게이트 절연막 패턴, 게이트 절연막 패턴 상에 형성된 하부 게이트 전극, 저면의 폭이 하부 게이트 전극의 상면 폭보다 좁고 위로 갈수록 점차 커지는 폭을 가지며 하부 게이트 전극 상에 형성된 상부 게이트 전극, 및 상부 게이트 전극의 측벽을 감싸는 제1 내부 스페이서를 포함하는 게이트 구조물을 구비한다.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME}
본 발명은 반도체 장치 및 그 제조 방법에 관한 것이다. 보다 상세하게 본 발명은 게이트 구조물을 포함하는 반도체 장치 및 그 제조 방법에 관한 것이다.
반도체 소자가 고 집적화됨에 따라, 게이트 전극 하부에 형성되는 게이트 절연막을 통해 누설 전류가 발생할 수 있다. 이를 방지하기 위해 상기 게이트 전극의 저면과 측벽을 감싸는 고유전막을 형성할 수 있으나, 이로 인해 상기 게이트 전극과 이에 인접하여 형성되는 콘택 플러그 사이에서 기생 커패시턴스가 발생할 수 있다.
본 발명의 일 목적은 우수한 특성을 갖는 게이트 구조물을 포함하는 반도체 장치를 제공하는 데 있다.
본 발명의 다른 목적은 우수한 특성을 갖는 게이트 구조물을 포함하는 반도체 장치의 제조 방법을 제공하는 데 있다.
상기 본 발명의 일 목적을 달성하기 위한 실시예들에 따른 반도체 장치는 기판 상에 형성된 게이트 절연막 패턴, 상기 게이트 절연막 패턴 상에 형성된 하부 게이트 전극, 저면의 폭이 상기 하부 게이트 전극의 상면 폭보다 좁고 위로 갈수록 점차 커지는 폭을 가지며 상기 하부 게이트 전극 상에 형성된 상부 게이트 전극, 및 상기 상부 게이트 전극의 측벽을 감싸는 제1 내부 스페이서를 포함하는 게이트 구조물을 구비한다.
예시적인 실시예들에 있어서, 상기 게이트 구조물은 상기 게이트 절연막 패턴 상에 형성되어, 상기 하부 게이트 전극의 저면 및 측벽을 감싸는 고유전막 패턴을 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 게이트 구조물은 상기 게이트 절연막 패턴 상에 형성되어, 상기 고유전막 패턴의 외측벽을 감싸는 제2 내부 스페이서를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 상부 게이트 전극의 상면 폭은 상기 고유전막 패턴의 저면 폭과 동일할 수 있다.
예시적인 실시예들에 있어서, 상기 하부 게이트 전극의 폭은 상기 상부 게이트 전극의 상면 폭과 동일할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 내부 스페이서는 저유전 물질을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 반도체 장치는 상기 기판 상에 상기 게이트 구조물에 인접하여 형성되며 금속을 포함하는 콘택 플러그를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 하부 게이트 전극은 높이에 따라 일정한 폭을 갖는 단일막으로 형성될 수 있다.
상기 본 발명의 다른 목적을 달성하기 위한 실시예들에 따른 반도체 장치의 제조 방법에서, 기판 상에 게이트 절연막 패턴을 형성한다. 상기 게이트 절연막 패턴 상에 하부 게이트 전극을 형성한다. 상기 하부 게이트 전극 상면을 부분적으로 덮는 제1 내부 스페이서를 형성한다. 상기 제1 내부 스페이서 및 상기 하부 게이트 전극 상면에 의해 정의되는 공간을 매립하며, 저면의 폭이 상기 하부 게이트 전극의 상면 폭보다 좁고 위로 갈수록 점차 넓어지는 폭을 갖는 상부 게이트 전극을 상기 하부 게이트 전극 상에 형성한다.
예시적인 실시예들에 있어서, 상기 하부 게이트 전극을 형성할 때, 상기 게이트 절연막 패턴 상에 더미 게이트 전극을 형성하여 이들을 포함하는 더미 게이트 구조물을 정의한다. 상기 더미 게이트 구조물의 측벽을 커버하는 층간 절연막을 형성한다. 상기 더미 게이트 전극을 제거하여 상기 게이트 절연막 패턴을 노출시키는 개구를 형성한다. 상기 노출된 게이트 절연막 패턴, 상기 개구의 측벽 및 상기 층간 절연막 상에 고유전막을 형성한다. 상기 고유전막 상에 상기 개구의 나머지 부분을 채우는 게이트 전극막을 형성한다. 상기 층간 절연막 상면이 노출될 때까지 상기 게이트 전극막 및 상기 고유전막의 상부를 평탄화하여 상기 개구를 채우는 예비 게이트 전극 및 예비 고유전막 패턴을 형성한다. 상기 예비 게이트 전극 및 예비 고유전막 패턴을 부분적으로 제거하여, 상기 하부 게이트 전극 및 이의 저면 및 측벽을 감싸는 고유전막 패턴을 형성한다.
예시적인 실시예들에 있어서, 상기 고유전막을 형성하기 이전에, 상기 노출된 게이트 절연막 패턴, 상기 개구의 측벽 및 상기 층간 절연막 상에 원자층 증착(Atomic Layer Deposition: ALD) 공정에 의해 제2 내부 스페이서막을 형성하고, 상기 제2 내부 스페이서막을 이방성 식각하여 상기 개구의 측벽 상에 예비 제2 내부 스페이서를 형성할 수 있다. 또한, 상기 하부 게이트 전극 및 상기 고유전막 패턴을 형성할 때, 상기 예비 제2 내부 스페이서를 부분적으로 제거하여 상기 고유전막 패턴의 측벽을 감싸는 제2 내부 스페이서를 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 하부 게이트 전극을 형성할 때, 상기 게이트 절연막 패턴 상에 예비 게이트 전극을 형성하고, 상기 예비 게이트 전극의 상부를 제거하여 상기 하부 게이트 전극을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 하부 게이트 전극을 형성할 때, 상기 게이트 절연막 패턴 및 상기 하부 게이트 전극을 수용하며 상기 하부 게이트 전극의 상면을 노출시키는 리세스를 갖는 층간 절연막을 상기 기판 상에 형성할 수 있다. 상기 제1 내부 스페이서를 형성하고 상기 상부 게이트 전극을 형성할 때, 상기 노출된 하부 게이트 전극의 상면, 상기 리세스의 측벽 및 상기 층간 절연막 상에 제1 내부 스페이서막을 형성하고, 상기 제1 내부 스페이서막을 이방성 식각하여 상기 리세스의 측벽 상에 상기 노출된 하부 게이트 전극의 상면을 부분적으로 덮는 상기 제1 내부 스페이서를 형성하며, 상기 노출된 하부 게이트 전극 상면에 상기 리세스의 나머지 부분을 채우는 상기 상부 게이트 전극을 형성할 수 있다.
상기 본 발명의 일 목적을 달성하기 위한 실시예들에 따른 반도체 장치는, 게이트 절연막 패턴, 하부 게이트 전극, 내부 스페이서막, 상부 게이트 전극 및 내부 스페이서를 포함한다. 상기 게이트 절연막 패턴은 소자 분리막에 의해 액티브 영역과 필드 영역이 정의되는 기판 및 상기 소자 분리막 상에 형성된다. 상기 하부 게이트 전극은 상기 게이트 절연막 패턴 상에 형성되며, 적어도 상기 액티브 영역에 형성된 제1 부분 및 상기 필드 영역에 형성되며 상기 제1 부분보다 큰 폭을 가지면서 상기 제1 부분에 연결되는 제2 부분을 포함한다. 상기 내부 스페이서는 상기 하부 게이트 전극의 제1 부분 상면을 커버한다. 상기 상부 게이트 전극은 상기 하부 게이트 전극의 제2 부분 상에 형성되어, 저면의 폭이 상기 하부 게이트 전극의 제2 부분 상면의 폭보다 좁으며 위로 갈수록 점차 커지는 폭을 갖는다. 상기 내부 스페이서는 상기 상부 게이트 전극의 측벽을 감싸며 상기 내부 스페이서막에 접촉한다.
예시적인 실시예들에 있어서, 상기 반도체 장치는 상기 게이트 절연막 패턴 상에 형성되어 상기 하부 게이트 전극의 저면 및 측벽을 감싸는 고유전막 패턴을 더 포함할 수 있다.
예시적인 실시예들에 따른 상기 반도체 장치에서, 게이트 구조물은 고유전막 패턴을 포함하므로 누설 전류가 감소될 수 있고, 저유전 물질을 포함하는 제1 내부 스페이서를 구비하므로, 콘택 플러그와의 사이에 발생할 수 있는 기생 커패시턴스를 감소시킬 수 있다. 또한, 상기 게이트 구조물은 하부 게이트 전극을 포함하므로, 상기 제1 내부 스페이서 혹은 상부 게이트 전극에 영향 받지 않고 원하는 전기적 특성을 유지할 수 있다. 나아가, 상기 상부 게이트 전극은 저면에 비해 넓은 상면을 가지므로, 콘택 플러그와 용이하게 접촉할 수 있다.
다만, 본 발명의 효과는 상기 언급한 효과에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.
도 1은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 2 내지 도 10은 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 단면도들이다.
도 11은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 12 내지 도 15는 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 단면도들이다.
도 16은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 17 내지 도 19는 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 단면도들이다.
도 20은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 평면도이고, 도 21은 상기 반도체 장치의 단면도이다.
도 22, 24 및 26은 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 평면도들이고, 도 23, 25 및 27은 상기 반도체 장치의 단면도들이다.
도 28은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 평면도이고, 도 29는 상기 반도체 장치의 단면도이다.
도 30 및 32는 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 평면도들이고, 도 31 및 33은 상기 반도체 장치의 단면도들이다.
본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 아니 된다.
본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로 사용될 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
어떤 구성요소가 다른 구성요소에 "연결되어" 있다거나 "접속되어" 있다고 언급된 때에는, 그 다른 구성요소에 직접적으로 연결되어 있거나 또는 접속되어 있을 수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미이다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미인 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
이하, 첨부한 도면들을 참조하여, 본 발명의 바람직한 실시예를 보다 상세하게 설명하고자 한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고 동일한 구성요소에 대해서 중복된 설명은 생략한다.
실시예
도 1은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 1을 참조하면, 상기 반도체 장치는 기판(100) 상에 형성된 게이트 구조물(222)을 포함한다. 또한, 상기 반도체 장치는 외부 스페이서(150), 불순물 영역(105), 올려진 소스 드레인(Elevated Source Drain: ESD) 층(160), 금속 실리사이드 패턴(250), 제1 콘택 플러그(240) 및 제1 및 제2 층간 절연막들(170, 230)을 더 포함할 수 있다.
기판(100)은 예를 들어, 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판, 실리콘 온 인슐레이터(Silicon-On-Insulator: SOI) 기판, 게르마늄 온 인슐레이터(Germanium-On-Insulator: GOI) 기판 등일 수 있다. 기판(100)은 소자 분리막(110)이 형성된 필드 영역 및 소자 분리막(110)이 형성되지 않은 액티브 영역으로 구분될 수 있다. 소자 분리막(110)은 예를 들어, 실리콘 산화물을 포함할 수 있다.
예시적인 실시예들에 있어서, 게이트 구조물(222)은 기판(100) 및 소자 분리막(110) 상에 제1 방향으로 연장되도록 형성될 수 있으며, 또한 상기 제1 방향에 실질적으로 수직한 제2 방향을 따라 복수 개로 형성될 수 있다.
게이트 구조물(222)은 기판(100) 상에 형성된 게이트 절연막 패턴(120), 게이트 절연막 패턴(120) 상에 순차적으로 적층된 하부 및 상부 게이트 전극들(195, 210) 및 상부 게이트 전극(210)의 측벽을 감싸는 제1 내부 스페이서(205)를 포함할 수 있다. 또한, 게이트 구조물(222)은, 게이트 절연막 패턴(120) 상에 형성되어 하부 게이트 전극(195)의 저면 및 측벽을 감싸는 고유전막 패턴(185)을 더 포함할 수 있다.
게이트 절연막 패턴(120)은 예를 들어, 실리콘 산화물을 포함할 수 있다. 고유전막 패턴(185)은 예를 들어, 하프늄 산화물(HfO2), 탄탈륨 산화물(Ta2O5), 지르코늄 산화물(ZrO2) 등과 같은 고유전율을 갖는 금속 산화물을 포함할 수 있다.
예시적인 실시예들에 있어서, 하부 게이트 전극(195)은 상기 제2 방향으로 일정한 제1 폭(w1)을 가질 수 있다. 또한, 상부 게이트 전극(210)은 상기 제2 방향을 따라, 그 저면의 제2 폭(w2)이 하부 게이트 전극(195)의 제1 폭(w1)보다 좁을 수 있으며 위로 갈수록 점차 커지는 폭을 가질 수 있다. 이에 따라, 상부 게이트 전극(210) 상면의 제3 폭(w3)은 하부 게이트 전극(195) 제1 폭(w1)보다 클 수 있으며, 고유전막 패턴(185)의 저면 폭 혹은 게이트 절연막 패턴(120)의 폭과 실질적으로 동일할 수 있다.
하부 및 상부 게이트 전극들(195, 210)은 예를 들어, 알루미늄(Al), 구리(Cu), 탄탈륨(Ta) 등의 금속과 금속 질화물과 같은 저 저항 금속을 포함할 수 있다. 이와는 달리, 하부 및 상부 게이트 전극들(195, 210)은 불순물이 도핑된 폴리실리콘을 포함할 수도 있다. 예시적인 실시예들에 있어서, 하부 및 상부 게이트 전극들(195, 210)은 서로 실질적으로 동일한 물질을 포함하여 병합될 수 있다. 이와는 달리, 하부 및 상부 게이트 전극들(195, 210)은 서로 다른 물질을 포함할 수도 있다.
제1 내부 스페이서(205)는 고유전막 패턴(185)의 상면 및 하부 게이트 전극(195) 상면의 일부를 커버할 수 있다. 예시적인 실시예들에 있어서, 제1 내부 스페이서(205)는 상부 게이트 전극(210)의 폭에 대응하여, 위에서 아래로 갈수록 점차 커지는 제1 두께(t1)를 가질 수 있다. 제1 내부 스페이서(205)는 고유전막 패턴(185)보다 작은 유전 상수를 갖는 물질을 포함할 수 있다. 예시적인 실시예들에 있어서, 제1 내부 스페이서(205)는 실리콘 이산화물(SiO2) 또는 이보다 작은 유전 상수를 갖는 저유전 물질, 예를 들어 불소 혹은 탄소가 도핑된 실리콘 산화물, 다공성 실리콘 산화물, 스핀 온 유기 폴리머, HSSQ(Hydrogen Silsesquioxane), MSSQ(Methyl Silsesquioxane) 등과 같은 무기 폴리머 등을 포함할 수 있다.
외부 스페이서(150)는 게이트 구조물(222)의 측벽에 형성될 수 있다. 외부 스페이서(150)는 예를 들어, 실리콘 질화물 혹은 실리콘 산화물을 포함할 수 있다.
제1 불순물 영역(105)은 게이트 구조물(222)에 인접하는 기판(100)의 액티브 영역 상부에 형성될 수 있다. 예시적인 실시예들에 있어서, 제1 불순물 영역(105)은 예를 들어, 붕소(B)와 같은 p형 불순물이 도핑된 단결정 실리콘-게르마늄 혹은 인(P)과 같은 n형 불순물이 도핑된 실리콘 탄화물을 포함할 수 있다. 이와는 달리, 제1 불순물 영역(105)은 p형 혹은 n형 불순물이 도핑된 실리콘을 포함할 수도 있다.
올려진 소스/드레인(ESD) 층(160)은 불순물 영역(105) 상에 형성되어 외부 스페이서(150)에 접촉할 수 있으며, 하부에 형성된 불순물 영역(105)과 동일한 도전형의 불순물을 포함하는 단결정 실리콘을 포함할 수 있다. 올려진 소스/드레인(ESD) 층(160)은 예를 들어, 붕소와 같은 p형 불순물이 도핑된 단결정 실리콘을 포함하거나 혹은, 예를 들어, 인과 같은 n형 불순물이 도핑된 단결정 실리콘을 포함할 수 있다.
불순물 영역(105) 및 올려진 소스/드레인(ESD) 층(160)이 p형 불순물을 포함하는 경우, 인접하는 게이트 구조물(222)과 함께 피모스(P-channel Metal Oxide Semiconductor: PMOS) 트랜지스터를 형성할 수 있고, 불순물 영역(105) 및 올려진 소스/드레인(ESD) 층(160)이 n형 불순물을 포함하는 경우, 인접하는 게이트 구조물(222)과 함께 엔모스(N-channel Metal Oxide Semiconductor: NMOS) 트랜지스터를 형성할 수 있다. 즉, 불순물 영역(105)과 올려진 소스/드레인(ESD) 층(160)은 함께 트랜지스터의 소스/드레인 영역의 기능을 수행할 수 있다. 이때, 불순물 영역들(105) 사이의 기판(100) 상부에는 상기 트랜지스터의 채널(C)이 형성될 수 있다.
금속 실리사이드 패턴(250)은 올려진 소스/드레인(ESD) 층(160) 상부에 형성될 수 있다. 일 실시예에 있어서, 금속 실리사이드 패턴(250)은 올려진 소스/드레인(ESD) 층(160)을 관통하여 불순물 영역(105)의 상면에 접촉할 수 있다. 금속 실리사이드 패턴(250)은 예를 들어, 니켈 실리사이드, 코발트 실리사이드, 백금 실리사이드와 같은 금속 실리사이드를 포함할 수 있다.
제1 층간 절연막(170)은 게이트 구조물(222) 및 외부 스페이서(150)의 측벽을 감싸며, 제1 불순물 영역(105), 올려진 소스 드레인(ESD) 층(160) 및 금속 실리사이드 패턴(250)을 커버할 수 있다. 제2 층간 절연막(230)은 제1 층간 절연막(170) 및 게이트 구조물(222) 상에 형성될 수 있다. 제1 및 제2 층간 절연막들(170, 230)은 예를 들어, 실리콘 산화물을 포함할 수 있다. 제2 층간 절연막(230)은 제1 층간 절연막(170)과 실질적으로 동일한 물질을 포함할 수도 있고 서로 다른 물질을 포함할 수도 있다.
제1 콘택 플러그(240)는 제1 및 제2 층간 절연막들(170, 230)을 관통하여 금속 실리사이드 패턴(250) 상에 형성될 수 있다. 제1 콘택 플러그(240)는 금속, 금속 질화물, 불순물이 도핑된 폴리실리콘 등을 포함할 수 있다. 또한, 제1 콘택 플러그(240)는 금속을 포함하는 도전막 패턴(도시하지 않음) 및 이의 저면 및 측벽을 감싸며 금속 질화물을 포함하는 배리어막 패턴(도시하지 않음)을 포함할 수도 있다.
상기 반도체 장치에 포함된 게이트 구조물(222)은 게이트 절연막 패턴(120)과 하부 게이트 전극(195) 사이에 고유전막 패턴(185)을 포함하므로, 누설 전류가 감소될 수 있다. 또한, 게이트 구조물(222)은 상부 게이트 전극(210)의 측벽을 감싸며 저유전 물질을 포함하는 제1 내부 스페이서(205)를 구비하므로, 게이트 구조물(222)과 제1 콘택 플러그(240) 사이에 발생할 수 있는 기생 커패시턴스를 감소시킬 수 있다.
한편, 기판(100) 상부에 형성되는 채널(C)의 전기장에 직접적인 영향을 주는 하부 게이트 전극(195)은 제1 내부 스페이서(205)와 무관하게 상기 제2 방향으로 일정한 제1 폭(w1)을 가질 수 있다. 이에 따라, 게이트 구조물(222)이 하부 게이트 전극(195)을 포함하므로, 비록 게이트 구조물(222)이 제1 내부 스페이서(205)의 제1 두께(t1)에 연동하여 변화되는 폭을 갖는 상부 게이트 전극(210)을 포함하더라도, 상기 반도체 장치는 이에 영향 받지 않고 원하는 전기적 특성을 유지할 수 있다.
또한, 상부 게이트 전극(210)은 제1 내부 스페이서(205)에 의해 저면의 제2 폭(w2)은 비록 좁을 수 있으나 상면의 제3 폭(w3)은 이보다 넓으므로, 상부 게이트 전극(210) 상에 접촉하는 콘택 플러그(도시되지 않음)를 용이하게 형성할 수 있다.
도 2 내지 도 10은 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 단면도들이다. 상기 반도체 장치 제조 방법은 도 1에 도시된 반도체 장치를 제조하기 위해 사용될 수 있으나 반드시 이에 한정되는 것은 아니다.
도 2를 참조하면, 기판(100) 상에 소자 분리막(110)을 형성한 후, 기판(100) 및 소자 분리막(110) 상에 더미 게이트 구조물(dummy gate structure)(140) 및 외부 스페이서(outer spacer)(150)를 형성한다.
기판(100)은 소자 분리막(110)이 형성된 필드 영역 및 소자 분리막(110)이 형성되지 않은 액티브 영역으로 구분될 수 있다. 예시적인 실시예들에 따르면, 소자 분리막(110)은 에스티아이(Shallow Trench Isolation: STI) 공정에 의해 형성될 수 있다.
더미 게이트 구조물(140)은 소자 분리막(110)이 형성된 기판(100) 상에 게이트 절연막 및 더미 게이트 전극막을 순차적으로 형성하고, 사진 식각 공정을 통해 상기 더미 게이트 전극막 및 상기 게이트 절연막을 패터닝함으로써 형성될 수 있다. 이에 따라, 더미 게이트 구조물(140)은 기판(100) 및 소자 분리막(110) 상에 순차적으로 적층된 게이트 절연막 패턴(120) 및 더미 게이트 전극(130)을 포함하도록 형성될 수 있다. 이때, 더미 게이트 구조물(140)은 기판(100)의 상기 액티브 영역에만 형성될 수도 있고, 혹은 소자 분리막(110) 상에도 형성되어 기판(100)의 상기 액티브 영역 및 필드 영역 모두에 형성될 수도 있다. 예시적인 실시예들에 있어서, 더미 게이트 구조물(140)은 기판(100) 및 소자 분리막(110) 상에 제1 방향으로 연장되도록 형성될 수 있으며, 또한 상기 제1 방향에 실질적으로 수직한 제2 방향을 따라 복수 개로 형성될 수 있다.
상기 게이트 절연막은 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정, 원자층 증착(Atomic Layer Deposition: ALD) 공정 등을 통해 형성될 수 있으며, 예를 들어, 실리콘 산화물을 포함하도록 형성될 수 있다. 이와는 달리, 상기 게이트 절연막은 기판(100) 상부에 대한 열산화 공정을 통해 형성될 수도 있다. 한편, 상기 더미 게이트 전극막 역시 화학 기상 증착(CVD) 공정, 원자층 증착(ALD) 공정 등을 통해 형성될 수 있으며, 예를 들어 폴리실리콘을 포함하도록 형성될 수 있다.
이후, 더미 게이트 구조물(140)을 커버하는 외부 스페이서막을 기판(100) 및 소자 분리막(110) 상에 형성하고 이를 이방성 식각하여, 더미 게이트 구조물(140) 측벽에 외부 스페이서(150)를 형성할 수 있다. 상기 외부 스페이서막은 예를 들어, 실리콘 질화물 혹은 실리콘 산화물을 포함하도록 형성될 수 있다.
도 3을 참조하면, 더미 게이트 구조물(140)에 인접하는 기판(100)의 액티브 영역 상부에 불순물 영역(105)을 형성하고, 불순물 영역(105) 상에 올려진 소스/드레인(ESD) 층(160)을 형성한다.
구체적으로, 더미 게이트 구조물(140) 및 외부 스페이서(150)를 식각 마스크로 사용하여 기판(100)의 액티브 영역 상부를 제거함으로써 트렌치(도시되지 않음)를 형성한 후, 상기 트렌치를 채우는 불순물 영역(105)을 형성할 수 있다.
예시적인 실시예들에 따르면, 상기 트렌치에 의해 노출된 기판(100) 상면을 시드(seed)로 사용하는 제1 선택적 에피택시얼 성장(Selective Epitaxial Growth: SEG) 공정을 수행함으로써 불순물 영역(105)을 형성할 수 있다. 상기 제1 선택적 에피택시얼 성장(SEG) 공정은 예를 들어, 디클로로실란(SiH2Cl2) 가스, 사수소화 게르마늄(GeH4) 가스 등을 소스 가스로 사용하여 수행할 수 있으며, 이에 따라 단결정 실리콘-게르마늄(SiGe) 층이 형성될 수 있다. 이때, p형 불순물 소스 가스, 예를 들어, 디보란(B2H6) 가스 등을 함께 사용하여, p형 불순물이 도핑된 단결정 실리콘-게르마늄 층을 형성할 수 있다. 이에 따라, 피모스(PMOS) 트랜지스터의 불순물 영역(105)이 형성될 수 있다.
이와는 달리, 상기 제1 선택적 에피택시얼 성장(SEG) 공정은 예를 들어, 다이실란(Si2H6) 가스 및 SiH3CH3 가스 등을 소스 가스로 사용하여 수행할 수도 있으며, 이에 따라 단결정 실리콘 탄화물(SiC) 층이 형성될 수도 있다. 이 때에는, n형 불순물 소스 가스, 예를 들어, 포스핀(PH3) 가스 등을 함께 사용하여, 불순물이 도핑된 단결정 실리콘 탄화물 층을 형성할 수 있다. 이에 따라, 엔모스(NMOS) 트랜지스터의 불순물 영역(105)이 형성될 수도 있다.
이후, 제2 선택적 에피택시얼 성장(SEG) 공정을 수행하여 불순물 영역(105) 상에 올려진 소스/드레인(ESD) 층(160)을 형성한다. 상기 제2 선택적 에피택시얼 성장(SEG) 공정은 불순물 영역(105)을 시드(seed)로 사용하여 수행될 수 있다. 상기 제2 선택적 에피택시얼 성장(SEG) 공정은 예를 들어, 디클로로실란(SiH2Cl2) 가스 및 디보란(B2H6) 가스와 같은 p형 불순물 소스 가스를 사용하여 수행할 수 있으며, 이에 따라 p형 불순물이 도핑된 단결정 실리콘 층이 형성될 수 있다. 혹은, 상기 제2 선택적 에피택시얼 성장(SEG) 공정은 디클로로실란(SiH2Cl2) 가스 및 포스핀(PH3) 가스와 같은 n형 불순물 소스 가스를 사용하여 수행할 수도 있으며, 이에 따라 n형 불순물이 도핑된 단결정 실리콘 층이 형성될 수도 있다.
예시적인 실시예들에 따르면, 불순물 영역(105)을 형성하는 상기 제1 선택적 에피택시얼 성장(SEG) 공정과 올려진 소스/드레인(ESD) 층(160)을 형성하는 상기 제2 선택적 에피택시얼 성장(SEG) 공정은 인시튜(in-situ)로 수행될 수 있다. 즉, 불순물 영역들(105)을 형성할 때, 실리콘 소스 가스, 게르마늄 소스 가스 및 p형 불순물 소스 가스를 공급하여 선택적 에피택시얼 성장(SEG) 공정을 수행한 후, 상기 게르마늄 소스 가스 공급을 중단하여 올려진 소스/드레인(ESD) 층(160)을 형성할 수 있다. 이와는 달리, 불순물 영역(105)을 형성할 때, 실리콘 소스 가스, 탄소 소스 가스 및 n형 불순물 소스 가스를 공급하여 선택적 에피택시얼 성장(SEG) 공정을 수행한 후, 상기 탄소 소스 가스 공급을 중단하여 올려진 소스/드레인(ESD) 층(160)을 형성할 수도 있다.
한편, 지금까지는 트렌치 형성 공정 및 선택적 에피택시얼 성장(SEG) 공정에 의해 불순물 영역(105)을 형성하는 것을 설명하였으나, 경우에 따라서 불순물 영역(105)은 단순히 더미 게이트 구조물(140)에 인접하는 기판(100) 상부에 이온 주입 공정을 통해 불순물을 주입함으로써 형성될 수도 있다. 또한, 지금까지는 불순물 영역(105) 상에 올려진 소스/드레인(ESD) 층(160)을 형성하는 것에 대해 설명하였으나, 경우에 따라서 올려진 소스/드레인(ESD) 층 형성 공정은 생략될 수도 있다. 다만 이하에서는 설명의 편의를 위해서, 선택적 에피택시얼 성장(SEG) 공정에 의해 불순물 영역(105)을 형성하고, 또한 불순물 영역(105) 상에 올려진 소스/드레인(ESD) 층(160)을 형성하는 경우에 대해서만 설명하도록 한다.
도 4를 참조하면, 더미 게이트 구조물(140), 외부 스페이서(150) 및 올려진 소스/드레인(ESD) 층(160)을 덮는 제1 층간 절연막(170)을 충분한 높이로 형성한 후, 더미 게이트 구조물(140) 상면이 노출될 때까지 제1 층간 절연막(170)을 평탄화한다. 제1 층간 절연막(170)을 형성하기 이전에, 더미 게이트 구조물(140), 외부 스페이서(150) 및 올려진 소스/드레인(ESD) 층(160) 상에 예를 들어, 실리콘 질화물을 포함하는 식각 저지막(도시되지 않음)을 더 형성할 수도 있다.
제1 층간 절연막(170)은 예를 들어, 실리콘 산화물을 포함하도록 형성할 수 있다. 한편, 상기 평탄화 공정은 화학 기계적 연마(Chemical Mechanical Polishing: CMP) 공정 및/또는 에치 백(etch back) 공정에 의해 수행될 수 있다.
이후, 노출된 더미 게이트 구조물(140)의 더미 게이트 전극(130)을 제거하여, 게이트 절연막 패턴(120) 상면을 노출시키는 제1 개구(175)를 형성한다. 즉, 제1 개구(175)는 게이트 절연막 패턴(120)의 상면과 외부 스페이서(150)의 내측벽에 의해 정의될 수 있다. 한편, 더미 게이트 전극(130)은 습식 식각 공정 혹은 건식 식각 공정에 의해 제거될 수 있다.
도 5를 참조하면, 노출된 게이트 절연막 패턴(120) 상면 및 제1 개구(175)의 측벽에 예비 고유전막 패턴(180)을 형성하고, 제1 개구(175)의 나머지 부분을 채우는 예비 게이트 전극(190)을 예비 고유전막 패턴(180) 상에 형성한다.
구체적으로, 노출된 게이트 절연막 패턴(120) 상면, 제1 개구(175)의 측벽 및 제1 층간 절연막(170)의 상면에 고유전막을 형성하고, 제1 개구(175)의 나머지 부분을 충분히 채우는 제1 게이트 전극막을 상기 고유전막 상에 형성한다.
상기 고유전막은, 예를 들어, 하프늄 산화물(HfO2), 탄탈륨 산화물(Ta2O5), 지르코늄 산화물(ZrO2) 등과 같은 고유전율을 갖는 금속 산화물을 포함하도록 형성할 수 있다. 상기 제1 게이트 전극막은 예를 들어, 알루미늄(Al), 구리(Cu), 탄탈륨(Ta) 등의 금속과 금속 질화물과 같은 저 저항 금속을 사용하여 원자층 증착(ALD) 공정, 물리 기상 증착(Physical Vapor Deposition: PVD) 공정 등을 통해 형성될 수 있다. 이후, 상기 제1 게이트 전극막에 대해 급속 열처리(Rapid Thermal Annealing: RTA) 공정, 스파이크 RTA(spike-RTA) 공정, 플래시 RTA 공정 또는 레이저 어닐링(laser annealing) 공정 등과 같은 열처리 공정을 더 수행할 수도 있다. 이와는 달리, 상기 제1 게이트 전극막은 불순물이 도핑된 폴리실리콘을 사용하여 형성될 수도 있다.
이후, 제1 층간 절연막(170)의 상면이 노출될 때까지, 상기 제1 게이트 전극막 및 상기 고유전막을 평탄화하여, 게이트 절연막 패턴(120) 상면 및 제1 개구(175)의 측벽 상에 예비 고유전막 패턴(180)을 형성하고, 예비 고유전막 패턴(180) 상에 제1 개구(175)의 나머지 부분을 채우는 예비 게이트 전극(190)을 형성할 수 있다. 예시적인 실시예들에 따르면, 상기 평탄화 공정은 화학 기계적 연마(CMP) 공정 및 또는 에치 백 공정에 의해 수행될 수 있다.
도 6을 참조하면, 예비 게이트 전극(190) 및 예비 고유전막 패턴(180)의 상부를 제거하여 리세스(177)를 형성하며, 이에 따라 하부 게이트 전극(195) 및 고유전막 패턴(185)이 각각 형성된다. 즉, 리세스(177)는 외부 스페이서(150)의 상부 내측벽과, 하부 게이트 전극(195) 및 고유전막 패턴(185)의 상면들에 의해 정의될 수 있다.
도 7을 참조하면, 하부 게이트 전극(195) 및 고유전막 패턴(185)의 상면들, 리세스(177)의 측벽 및 제1 층간 절연막(170) 상면에 제1 내부 스페이서막(200)을 형성한다. 예시적인 실시예들에 있어서, 제1 내부 스페이서막(200)은 일정한 두께를 갖도록 형성될 수 있다.
제1 내부 스페이서막(200)은 고유전막 패턴(185)보다 작은 유전 상수를 갖는 물질을 포함하도록 형성될 수 있다. 예시적인 실시예들에 있어서, 제1 내부 스페이서막(200)은 실리콘 이산화물(SiO2) 또는 이보다 작은 유전 상수를 갖는 저유전 물질, 예를 들어 불소 혹은 탄소가 도핑된 실리콘 산화물, 다공성 실리콘 산화물, 스핀 온 유기 폴리머, HSSQ, MSSQ 등과 같은 무기 폴리머 등을 포함하도록 형성될 수 있다.
도 8을 참조하면, 제1 내부 스페이서막(200)을 부분적으로 제거하여 제1 내부 스페이서(205)를 형성할 수 있다.
예시적인 실시예들에 있어서, 제1 내부 스페이서(205)는 제1 내부 스페이서막(200)을 이방성 식각하여 형성될 수 있으며, 이에 따라 제1 내부 스페이서(205)는 리세스(177) 측벽 상에 형성될 수 있다. 이때, 제1 내부 스페이서(205)는 고유전막 패턴(185)의 상면 및 하부 게이트 전극(195)의 상면 일부를 덮도록 형성될 수 있다.
한편, 제1 내부 스페이서막(200)이 일정한 두께를 갖도록 형성되었다 하더라도, 상기 이방성 식각 공정의 특성 상, 제1 내부 스페이서(205)는 아래로 갈수록 점차 커지는 제1 두께(t1)를 갖도록 형성될 수 있으며, 이에 따라 제1 내부 스페이서(205)가 형성된 리세스(177)의 나머지 부분은 이와는 반대로 위로 갈수록 점차 커지는 폭을 갖도록 형성될 수 있다.
도 9를 참조하면, 리세스(177)의 나머지 부분을 채우는 상부 게이트 전극(210)을 하부 게이트 전극(195) 및 제1 내부 스페이서(205) 상에 형성한다.
예시적인 실시예들에 있어서, 상부 게이트 전극 상부(210)는, 리세스(177)의 나머지 부분을 충분히 채우는 제2 게이트 전극막을 하부 게이트 전극(195) 및 제1 내부 스페이서(205) 상에 형성한 후, 제1 층간 절연막(170) 상면이 노출될 때까지 상기 제2 게이트 전극막을 평탄화하여 형성할 수 있다.
리세스(177)의 형상에 따라, 상부 게이트 전극(210)은 위로 갈수록 점차 커지는 폭을 갖도록 형성될 수 있다. 이에 따라, 상부 게이트 전극(210) 저면의 제2 폭(w2)은 하부 게이트 전극(195)의 제1 폭(w1)보다 작을 수 있으나, 상부 게이트 전극(210) 상면의 제3 폭(w3)은 하부 게이트 전극(195)의 제1 폭(w1)보다 클 수 있다. 예시적인 실시예들에 있어서, 상부 게이트 전극(210) 상면의 제3 폭(w3)은 고유전막 패턴(185) 저면의 폭과 실질적으로 동일하도록 형성될 수 있다.
상기 제2 게이트 전극막은 예를 들어, 알루미늄(Al), 구리(Cu), 탄탈륨(Ta) 등의 금속과 금속 질화물과 같은 저 저항 금속을 사용하여 원자층 증착(ALD) 공정, 물리 기상 증착(PVD) 공정 등을 통해 형성될 수 있다. 이와는 달리, 상기 제2 게이트 전극막은 불순물이 도핑된 폴리실리콘을 포함하도록 형성될 수도 있다.
예시적인 실시예들에 있어서, 상기 제2 게이트 전극막은 상기 제1 게이트 전극막과 실질적으로 동일한 물질을 포함하도록 형성될 수 있으며, 이 경우 하부 게이트 전극(195) 및 상부 게이트 전극(210)은 서로 병합될 수 있다. 이와는 달리, 상기 제2 게이트 전극막은 상기 제1 게이트 전극막과 다른 물질을 포함하도록 형성될 수도 있다.
전술한 공정들에 의해서, 기판(100) 및/또는 소자 분리막(110) 상에, 게이트 절연막 패턴(120), 고유전막 패턴(185), 하부 게이트 전극(195), 상부 게이트 전극(210) 및 제1 내부 스페이서(205)를 포함하는 게이트 구조물(222)이 형성될 수 있다. 게이트 구조물(222)과 이에 인접한 불순물 영역(105) 및 올려진 소스/드레인(ESD) 층(160)은 함께 트랜지스터를 형성할 수 있으며, 불순물 영역(105) 및 올려진 소스/드레인(ESD) 층(160)은 함께 상기 트랜지스터의 소스/드레인 영역의 역할을 수행할 수 있다.
도 10을 참조하면, 제1 층간 절연막(170) 및 게이트 구조물(222) 상에 제2 층간 절연막(230)을 형성하고, 제1 및 제2 층간 절연막들(170, 230)을 관통하면서 올려진 소스/드레인(ESD) 층(160) 상면을 노출시키는 제2 개구(235)를 형성한다.
제2 층간 절연막(230)은 예를 들어, 실리콘 산화물을 사용하여 형성할 수 있다. 제2 층간 절연막(230)은 제1 층간 절연막(170)과 실질적으로 동일한 물질을 사용하여 형성할 수도 있고 서로 다른 물질을 사용하여 형성할 수도 있다.
제2 개구(235)는 제2 층간 절연막(230) 상에 포토레지스트 패턴(도시되지 않음)을 형성하고, 상기 포토레지스트 패턴을 식각 마스크로 사용하는 건식 식각 공정을 통해 형성될 수 있다. 이때, 올려진 소스/드레인(ESD) 층(160) 상부 일부가 제거되어 리세스(도시되지 않음)가 형성될 수도 있다.
다시 도 1을 참조하면, 제2 개구(235)에 의해 노출된 올려진 소스/드레인(ESD) 층(160) 부분 상에 금속 실리사이드 패턴(250)을 형성한다.
구체적으로, 노출된 올려진 소스/드레인(ESD) 층(160)의 상면, 제2 개구(235)의 측벽 및 제2 층간 절연막(230)의 상면에 금속막을 형성하고 이를 열처리함으로써, 올려진 소스/드레인(ESD) 층(160)과 상기 금속막을 반응시키는 실리사이데이션(silicidation) 공정을 수행할 수 있다. 일 실시예에 있어서, 상기 열처리는 대략 섭씨 400도 이하의 온도에서 수행될 수 있다.
이에 따라, 올려진 소스/드레인(ESD) 층(160)의 상부에 금속 실리사이드 막이 형성될 수 있으며, 상기 금속막 중에서 반응하지 않은 부분을 제거함으로써, 올려진 소스/드레인(ESD) 층(160) 상에 금속 실리사이드 패턴(250)을 형성할 수 있다. 예시적인 실시예들에 따르면, 상기 금속막은 니켈, 코발트, 백금 등을 사용하여 형성될 수 있으며, 이에 따라 금속 실리사이드 패턴(250)은 예를 들어, 니켈 실리사이드, 코발트 실리사이드, 백금 실리사이드 등을 포함하도록 형성될 수 있다.
이후, 제2 개구(235)를 채우는 제1 콘택 플러그(240)를 형성한다.
제1 콘택 플러그(240)는 금속 실리사이드 패턴(250)의 상면, 제2 개구(235)의 측벽 및 제2 층간 절연막(230) 상면에 배리어막(도시되지 않음)을 형성하고, 상기 배리어막 상에 제2 개구(235)의 나머지 부분을 충분히 채우는 도전막을 형성한 후, 제2 층간 절연막(230) 상면이 노출될 때까지 상기 도전막 및 상기 배리어막의 상부를 평탄화함으로써 형성될 수 있다. 예시적인 실시예들에 따르면, 상기 배리어막은 금속 또는 금속 질화물을 포함하도록 형성될 수 있으며, 상기 도전막은 도핑된 폴리실리콘, 금속, 금속 질화물 및/또는 금속 실리사이드를 포함하도록 형성될 수 있다.
전술한 공정들을 수행함으로써 상기 반도체 장치가 완성될 수 있다.
도 11은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다. 상기 반도체 장치는 제2 내부 스페이서를 더 포함하는 것을 제외하고는 도 1에 도시된 반도체 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 11을 참조하면, 상기 반도체 장치는 기판(100) 상에 형성된 게이트 구조물(224)을 포함한다. 또한, 상기 반도체 장치는 외부 스페이서(150), 불순물 영역(105), 올려진 소스 드레인(Elevated Source Drain: ESD) 층(160), 금속 실리사이드 패턴(250), 제1 콘택 플러그(240) 및 제1 및 제2 층간 절연막들(170, 230)을 더 포함할 수 있다.
게이트 구조물(224)은 기판(100) 상에 형성된 게이트 절연막 패턴(120), 게이트 절연막 패턴(120) 상에 순차적으로 적층된 하부 및 상부 게이트 전극들(195, 210) 및 상부 게이트 전극(210)의 측벽을 감싸는 제1 내부 스페이서(205)를 포함할 수 있다. 또한, 게이트 구조물(222)은, 게이트 절연막 패턴(120) 상에 형성되어 하부 게이트 전극(195)의 저면 및 측벽을 감싸는 고유전막 패턴(185)과, 게이트 절연막 패턴(120) 상에 형성되어 고유전막 패턴(185)의 측벽을 감싸는 제2 내부 스페이서(267)를 더 포함할 수 있다.
제2 내부 스페이서(267)는 제2 방향으로 제2 두께(t2)를 가질 수 있다. 예시적인 실시예들에 있어서, 제2 두께(t2)는 고유전막 패턴(185)의 두께 혹은 제1 내부 스페이서(205)의 제1 두께(t1)보다 더 작을 수 있다.
제2 내부 스페이서(267)는 고유전막 패턴(185)보다 작은 유전 상수를 갖는 물질을 포함할 수 있다. 예들 들어, 제2 내부 스페이서(267)는 실리콘 이산화물(SiO2) 또는 이보다 작은 유전 상수를 갖는 저유전 물질을 포함할 수 있다. 일 실시예에 있어서, 제2 내부 스페이서(267)는 원자층 증착 산화물(ALD oxide)을 포함할 수 있다.
상기 반도체 장치 역시 도 1에 도시된 반도체 장치와 유사하게, 고유전막 패턴(185)에 의한 누설 전류가 감소, 제1 내부 스페이서(205)에 의한 기생 커패시턴스를 감소 효과를 가질 수 있다.
이에 더하여, 상기 반도체 장치는 제2 내부 스페이서(267)를 더 포함하며, 제2 내부 스페이서(267)가 갖는 제2 두께(t2)에 연동되어 하부 게이트 전극(195)이 갖는 제1 폭(w1)이 조절될 수 있다. 즉, 후술할 제2 내부 스페이서(267) 공정 시, 제2 내부 스페이서(267)의 제2 두께(t2)가 증가하면 하부 게이트 전극(195)의 제1 폭(w1)이 감소할 수 있다. 이에 따라, 하부 게이트 전극(195)이 기판(100) 상부에 형성되는 채널(C)의 전기장에 주는 영향이 조절될 수 있으며, 이를 적절히 이용하여 상기 반도체 장치가 원하는 전기적 특성, 예를 들어 증가된 드레인 전류 등을 확보할 수 있다.
도 12 내지 도 15는 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 단면도들이다. 상기 반도체 장치 제조 방법은 도 11에 도시된 반도체 장치를 제조하기 위해 사용될 수 있으나 반드시 이에 한정되는 것은 아니다. 또한, 상기 반도체 장치 제조 방법은 도 2 내지 도 10을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 포함하므로, 이에 대한 자세한 설명은 생략한다.
먼저 도 2 내지 도 4를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
이후, 도 12를 참조하면, 노출된 게이트 절연막 패턴(120) 상면, 제1 개구(175)의 측벽 및 제1 층간 절연막(170)의 상면에 제2 내부 스페이서막(260)을 형성한다.
예시적인 실시예들에 있어서, 제2 내부 스페이서막(260)은 원자층 증 착(ALD) 공정에 의해 일정한 두께로 형성될 수 있으며, 제2 내부 스페이서막(260)은 미세한 두께 조절이 가능하도록 형성될 수 있다. 제2 내부 스페이서막(260)은 예를 들어, 실리콘 이산화물(SiO2) 또는 이보다 작은 유전 상수를 갖는 저유전 물질을 포함하도록 형성될 수 있다.
도 13을 참조하면, 제2 내부 스페이서막(260)을 이방성 식각하여, 제1 개구(175)의 측벽 상에 예비 제2 내부 스페이서(265)를 형성한다. 이에 따라, 게이트 절연막 패턴(120)의 가장자리 상에는 제2 두께(t2)를 갖는 예비 제2 내부 스페이서(265)가 형성될 수 있다. 이방성 식각 공정의 특성 상, 예비 제2 내부 스페이서(265)의 제2 두께(t2)는 위에서 아래로 갈수록 점차 증가할 수 있으나, 예비 제2 내부 스페이서(265)의 제2 두께(t2)가 얇으므로, 상기 증가폭은 미세할 수 있다.
도 14를 참조하면, 도 5를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행하여, 게이트 절연막 패턴(120) 상면 및 예비 제2 내부 스페이서(265)의 측벽 상에 예비 고유전막 패턴(180)을 형성하고, 예비 고유전막 패턴(180) 상에 제1 개구(175)의 나머지 부분을 채우는 예비 게이트 전극(190)을 형성할 수 있다.
도 15를 참조하면, 도 6 내지 도 9를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행하여, 제1 내부 스페이서(205) 및 상부 게이트 전극(210)을 형성한다.
다시 도 11을 참조하면, 도 10 및 도 1을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행하여, 올려진 소스/드레인(ESD) 층(160), 금속 실리사이드 패턴(250), 제1 및 제2 층간 절연막들(170, 230) 및 제1 콘택 플러그(240)를 형성하여 상기 반도체 장치를 완성할 수 있다.
도 16은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다. 상기 반도체 장치는 하부 및 상부 게이트 전극들 및 고유전막 패턴을 제외하고는 도 1에 도시된 반도체 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 16을 참조하면, 상기 반도체 장치는 기판(100) 상에 형성된 게이트 구조물(226)을 포함한다. 또한, 상기 반도체 장치는 외부 스페이서(150), 불순물 영역(105), 올려진 소스 드레인(Elevated Source Drain: ESD) 층(160), 금속 실리사이드 패턴(250), 제1 콘택 플러그(240) 및 제1 및 제2 층간 절연막들(170, 230)을 더 포함할 수 있다.
게이트 구조물(226)은 기판(100) 상에 형성된 게이트 절연막 패턴(120), 게이트 절연막 패턴(120) 상에 순차적으로 적층된 하부 및 상부 게이트 전극들(305, 210) 및 상부 게이트 전극(210)의 측벽을 감싸는 제1 내부 스페이서(205)를 포함할 수 있다.
하부 게이트 전극(305)은 상기 제2 방향으로 일정한 제1 폭(w1)을 가질 수 있다. 이때, 하부 게이트 전극(305)의 제1 폭(w1)은 게이트 절연막 패턴(120)의 폭과 실질적으로 동일할 수 있다. 한편, 상부 게이트 전극(210)은 상기 제2 방향을 따라, 그 저면의 제2 폭(w2)이 하부 게이트 전극(305)의 제1 폭(w1)보다 좁을 수 있으며 위로 갈수록 점차 커지는 폭을 가질 수 있다. 이에 따라, 상부 게이트 전극(210) 상면의 제3 폭(w3)은 하부 게이트 전극(305) 제1 폭(w1) 혹은 게이트 절연막 패턴(120)의 폭과 실질적으로 동일할 수 있다.
하부 및 상부 게이트 전극들(305, 210)은 불순물이 도핑된 폴리실리콘을 포함할 수 있다. 이와는 달리, 하부 및 상부 게이트 전극들(305, 210)은 예를 들어, 알루미늄(Al), 구리(Cu), 탄탈륨(Ta) 등의 저저항 금속 혹은 이를 포함하는 금속 질화물을 포함할 수도 있다. 예시적인 실시예들에 있어서, 하부 및 상부 게이트 전극들(305, 210)은 서로 실질적으로 동일한 물질을 포함하여 병합될 수 있다. 이와는 달리, 하부 및 상부 게이트 전극들(305, 210)은 서로 다른 물질을 포함할 수도 있다.
상기 반도체 장치는 제1 내부 스페이서(205)에 의한 기생 커패시턴스를 감소 효과를 가질 수 있다. 즉, 제1 내부 스페이서(205)가 형성됨으로써, 상부 게이트 전극(210)과 인접하는 제1 콘택 플러그(240) 사이의 거리가 멀어져 이들 사이에 발생하는 기생 커패시턴스가 감소될 수 있다.
한편, 기판(100) 상부에 형성되는 채널(C)의 전기장에 직접적인 영향을 주는 하부 게이트 전극(305)은 제1 내부 스페이서(205)와 무관하게 상기 제2 방향으로 일정한 제1 폭(w1)을 가질 수 있으며, 이에 따라 상기 반도체 장치는 상부 게이트 전극(210)의 형상 및/또는 제1 내부 스페이서(205)의 존재에 의해 영향 받지 않고 원하는 전기적 특성을 유지할 수 있다.
도 17 내지 도 19는 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 단면도들이다. 상기 반도체 장치 제조 방법은 도 16에 도시된 반도체 장치를 제조하기 위해 사용될 수 있으나 반드시 이에 한정되는 것은 아니다. 또한, 상기 반도체 장치 제조 방법은 도 2 내지 도 10을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 포함하므로, 이에 대한 자세한 설명은 생략한다.
도 17을 참조하면, 도 2를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
다만, 게이트 절연막 패턴(120) 상에, 예를 들어 폴리실리콘을 포함하는 더미 게이트 전극(130) 대신에, 불순물이 도핑된 폴리실리콘이나, 금속, 금속 질화물 등을 포함하는 예비 게이트 전극(300)이 형성되는 점에서 차이점이 있다. 이에 따라, 게이트 절연막 패턴(120) 및 예비 게이트 전극(300)은 예비 게이트 구조물(310)을 형성할 수 있으며, 외부 스페이서(150)는 예비 게이트 구조물(310) 측벽 상에 형성될 수 있다.
이후, 도 18을 참조하면, 도 6을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
다만, 예비 고유전막 패턴(180)이 형성되지 않으므로, 예비 게이트 전극(300)만을 부분적으로 제거하여 리세스(315)를 형성할 수 있다.
도 19를 참조하면, 도 7 내지 도 9를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다. 이에 따라, 상부 게이트 전극(210) 및 제1 내부 스페이서(205)가 형성될 수 있다.
이에 따라, 제2 방향을 따라 게이트 절연막 패턴(120)의 폭과 실질적으로 동일한 제1 폭(w1)을 갖는 하부 게이트 전극(305)과, 제1 내부 스페이서(205)의 제1 두께(t1)에 연동하여 변화하는 두께를 갖는 상부 게이트 전극(210)이 형성될 수 있다. 이때, 상부 게이트 전극(210) 저면의 제2 폭(w2)은 하부 게이트 전극(305)의 제1 폭(w1)보다 좁을 수 있으며, 상부 게이트 전극(210) 상면의 제3 폭(w3)은 하부 게이트 전극(305) 제1 폭(w1)과 실질적으로 동일할 수 있다.
다시 도 16을 참조하면, 도 10 및 도 1을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다. 이에 따라, 올려진 소스/드레인(ESD) 층(160), 금속 실리사이드 패턴(250), 제1 및 제2 층간 절연막들(170, 230) 및 제1 콘택 플러그(240)를 형성하여 상기 반도체 장치를 완성할 수 있다.
도 20은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 평면도이고, 도 21은 상기 반도체 장치의 단면도이다. 구체적으로 도 21은 도 20의 I-I' 라인 및 II-II' 라인을 따라 각각 절단한 단면도들을 포함한다. 상기 반도체 장치는 게이트 구조물의 폭, 제1 내부 스페이서, 상부 게이트 전극 및 콘택 플러그를 제외하고는 도 1에 도시된 반도체 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 20 및 21을 참조하면, 상기 반도체 장치는 기판(100) 상에 형성된 제1 및 제2 게이트 구조물들(222a, 222b)을 포함한다. 또한, 상기 반도체 장치는 외부 스페이서(150), 불순물 영역(105), 올려진 소스 드레인(ESD) 층(160), 금속 실리사이드 패턴(250), 제1 및 제2 콘택 플러그들(240, 270) 및 제1 및 제2 층간 절연막들(170, 230)을 더 포함할 수 있다.
기판(100)은 소자 분리막(110)이 형성된 필드 영역 및 소자 분리막(110)이 형성되지 않은 액티브 영역으로 구분될 수 있다. 예시적인 실시예들에 있어서, 제1 게이트 구조물(222a)은 제1 방향으로 연장되어, 적어도 기판(100)의 상기 액티브 영역 상에는 형성될 수 있으며, 또한 그 일부는 소자 분리막(110) 상에도 형성될 수 있다. 한편, 제2 게이트 구조물(222b)은 제1 게이트 구조물(222a)에 직접 접촉하며, 소자 분리막(110) 상에 형성될 수 있다. 예시적인 실시예들에 있어서, 서로 연결된 제1 및 제2 게이트 구조물들(222a, 222b)은 상기 제1 방향에 실질적으로 수직한 제2 방향을 따라 복수 개로 형성될 수 있다.
제1 게이트 구조물(222a)은 기판(100) 및 소자 분리막(110) 상에 형성된 제1 게이트 절연막 패턴(120a), 제1 게이트 절연막 패턴(120a) 상에 형성된 제1 고유전막 패턴(185a), 제1 고유전막 패턴(185a)에 의해 저면과 측벽이 감싸지는 제1 하부 게이트 전극(195a), 및 제1 하부 게이트 전극(195a) 및 제1 고유전막 패턴(185a) 상에 형성된 내부 스페이서막(205a)을 포함할 수 있다.
한편, 제2 게이트 구조물(222b)은 소자 분리막(110) 상에 형성된 제2 게이트 절연막 패턴(120b), 제2 게이트 절연막 패턴(120b) 상에 형성된 제2 고유전막 패턴(185b), 제2 고유전막 패턴(185b)에 의해 저면과 측벽이 감싸지는 제2 하부 게이트 전극(195b), 제2 하부 게이트 전극(195b) 상에 형성된 상부 게이트 전극(210), 및 상부 게이트 전극(210)의 측벽을 감싸며 제2 고유전막 패턴(185b) 상면 및 상부 게이트 전극(210) 일부 상면에 형성된 내부 스페이서(205b)를 포함할 수 있다.
이때, 제2 방향으로 제2 게이트 구조물(222b)의 제5 폭(w5)은 제1 게이트 구조물(222a)의 제4 폭(w4)보다 클 수 있다. 즉, 제2 게이트 절연막 패턴(120b), 제2 고유전막 패턴(185b) 및 제2 하부 게이트 전극(195b)은 각각 제1 게이트 절연막 패턴(120a), 제1 고유전막 패턴(185a) 및 제1 하부 게이트 전극(195a)보다 큰 폭을 가질 수 있다.
한편, 제2 게이트 절연막 패턴(120b), 제2 고유전막 패턴(185b) 및 제2 하부 게이트 전극(195b)은 각각 제1 게이트 절연막 패턴(120a), 제1 고유전막 패턴(185a), 제1 하부 게이트 전극(195a) 및 내부 스페이서(205b)는 각각 제1 게이트 절연막 패턴(120a), 제1 고유전막 패턴(185a), 제1 하부 게이트 전극(195a) 및 내부 스페이서막(205a)과 실질적으로 동일한 물질을 포함하며 이들에 직접 접촉할 수 있다.
제2 콘택 플러그(270)는 제2 층간 절연막(230)을 관통하여 상부 게이트 전극(210) 상에 형성될 수 있다. 제2 콘택 플러그(270)는 제1 콘택 플러그(240)와 실질적으로 동일한 물질, 예를 들어 금속, 금속 질화물, 불순물이 도핑된 폴리실리콘 등을 포함할 수 있다.
상기 반도체 장치에 포함된 제2 게이트 구조물(222b)은 도 1에 도시된 게이트 구조물(222)과 유사하게, 제2 게이트 절연막 패턴(120b)과 제2 하부 게이트 전극(195b) 사이에 제2 고유전막 패턴(185b)을 포함하므로, 누설 전류가 감소될 수 있다. 또한, 제2 게이트 구조물(222b)은 상부 게이트 전극(210)의 측벽을 감싸며 저유전 물질을 포함하는 내부 스페이서(205b)를 구비하므로, 제2 게이트 구조물(222b)과 제1 콘택 플러그(240) 사이에 발생할 수 있는 기생 커패시턴스를 감소시킬 수 있다. 이에 더하여, 제2 하부 게이트 전극(195b)이 내부 스페이서(205b)와 무관하게 상기 제2 방향으로 일정한 제5 폭(w5)을 가질 수 있으므로, 내부 스페이서(205b) 및 상부 게이트 전극(210)에 영향 받지 않고 원하는 전기적 특성을 유지할 수 있다.
한편, 제2 게이트 구조물(222b)에 포함된 상부 게이트 전극(210)은 저면에 비해 상면이 더 큰 폭을 가지므로, 제2 콘택 플러그(270)와 용이하게 접촉할 수 있다.
상기 반도체 장치에 포함된 제1 게이트 구조물(222a)은 제1 하부 게이트 전극(195a) 상에 상부 게이트 전극이 형성되지 않고 오로지 내부 스페이서막(205a)만 형성되므로, 제1 게이트 구조물(222a)에 인접하는 제1 콘택 플러그(240)와의 기생 커패시턴스 감소 효과가 극대화될 수 있다.
도 22, 24 및 26은 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 평면도들이고, 도 23, 25 및 27은 상기 반도체 장치의 단면도들이다. 구체적으로 도 23, 25 및 27은 도 22, 24 및 26의 I-I' 라인 및 II-II' 라인을 따라 각각 절단한 단면도들을 포함한다. 상기 반도체 장치 제조 방법은 도 20 및 21에 도시된 반도체 장치를 제조하기 위해 사용될 수 있으나 반드시 이에 한정되는 것은 아니다. 또한, 상기 반도체 장치 제조 방법은 도 2 내지 도 10을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 포함하므로, 이에 대한 자세한 설명은 생략한다.
도 22 및 23을 참조하면, 도 2를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
즉, 기판(100) 및 소자 분리막(110) 상에 게이트 절연막 및 더미 게이트 전극막을 형성한 후, 이들을 각각 패터닝한다. 다만, 기판(100)의 액티브 영역 및 이에 인접하는 소자 분리막(110) 일부 상에서는 상기 더미 게이트 전극막 및 상기 게이트 절연막이 제2 방향을 따라 상대적으로 좁은 제4 폭(w4)을 갖도록 패터닝되고, 소자 분리막(110)의 나머지 부분 상에서는 상기 더미 게이트 전극막 및 상기 게이트 절연막이 상기 제2 방향을 따라 상대적으로 넓은 제5 폭(w5)을 갖도록 패터닝될 수 있다.
이에 따라, 기판(100) 및 소자 분리막(110) 일부 상에 순차적으로 적층된 제1 게이트 절연막 패턴(120a) 및 제1 더미 게이트 전극(130a)을 포함하는 제1 더미 게이트 구조물(140a)이 형성될 수 있으며, 소자 분리막(110)의 나머지 부분 상에 순차적으로 적층된 제2 게이트 절연막 패턴(120b) 및 제2 더미 게이트 전극(130b)을 포함하는 제2 더미 게이트 구조물(140b)이 형성될 수 있다.
한편, 제1 및 제2 더미 게이트 구조물들(140a, 140b)의 측벽 상에는 외부 스페이서(150)가 형성될 수 있다.
도 24 및 25를 참조하면, 도 3 내지 도 6을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
이에 따라, 제1 더미 게이트 구조물(140a)에 인접한 기판(100)의 액티브 영역 상부에는 불순물 영역(105) 및 올려진 소스 드레인 층(160)이 형성될 수 있다.
한편, 제1 게이트 절연막 패턴(120a) 상에는 제1 하부 게이트 전극(195a) 및 제1 고유전막 패턴(185a)이 형성되며, 이들 상부에는 제1 리세스(178)가 형성된다. 또한, 제2 게이트 절연막 패턴(120b) 상에는 제2 하부 게이트 전극(195b) 및 제2 고유전막 패턴(185b)이 형성되며, 이들 상부에는 제2 리세스(179)가 형성된다. 이때, 제1 리세스(178)의 제4 폭(w4)은 제2 리세스(179)의 제5 폭(w5)보다 좁을 수 있다.
도 26 및 27을 참조하면, 도 7 내지 도 9를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
즉, 제1 및 제2 하부 게이트 전극들(195a, 195b)과 제1 및 제2 고유전막 패턴들(185a, 185b)의 상면, 제1 및 제2 리세스들(178, 179)의 측벽 및 제1 층간 절연막(170) 상면에 내부 스페이서막(205a)을 형성하고 이를 이방성 식각한다. 이에 따라, 제2 리세스(179)의 측벽 상에는 내부 스페이서(205b)가 형성될 수 있다.
하지만, 제1 리세스(178)의 제4 폭(w4)은 제2 리세스(179)의 제5 폭(w5)보다 좁아서, 내부 스페이서막(205a)이 제1 리세스(178) 전부를 매립하며, 상기 이방성 식각 공정에 의해서도 거의 식각되지 않는다. 이에 따라, 제1 리세스(178) 내에는 내부 스페이서막(205a)이 그대로 잔류할 수 있다.
이후, 제2 리세스(179)에는 그 나머지 부분을 채우는 상부 게이트 전극(210)이 제2 하부 게이트 전극(195b) 및 내부 스페이서(205b) 상에 형성될 수 있다.
다시 도 20 및 21을 참조하면, 도 10 및 도 1을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
이에 따라, 올려진 소스/드레인(ESD) 층(160)의 상부에 금속 실리사이드 패턴(250)이 형성될 수 있으며, 금속 실리사이드 패턴(250) 상에는 제1 콘택 플러그(240)가 형성될 수 있다. 한편, 제1 콘택 플러그(240)와 동일한 공정을 통해, 상부 게이트 전극(210) 상에는 제2 콘택 플러그(270)가 형성될 수 있다. 상부 게이트 전극(210)은 저면에 비해 상면이 넓은 폭을 가지므로, 제2 콘택 플러그(270)가 이에 접촉하도록 용이하게 형성할 수 있다.
전술한 공정들을 수행함으로써 상기 반도체 장치가 완성될 수 있다.
도 28은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 평면도이고, 도 29는 상기 반도체 장치의 단면도이다. 구체적으로 도 29는 도 28의 I-I' 라인 및 II-II' 라인을 따라 각각 절단한 단면도들을 포함한다. 상기 반도체 장치는 게이트 구조물의 폭, 제1 내부 스페이서, 상부 게이트 전극 및 콘택 플러그를 제외하고는 도 16에 도시된 반도체 장치와 실질적으로 동일하거나 유사하다. 또한, 상기 반도체 장치는 하부 및 상부 게이트 전극들 및 고유전막 패턴을 제외하고는 도 20 및 21에 도시된 반도체 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 28 및 29를 참조하면, 상기 반도체 장치는 기판(100) 상에 형성된 제1 및 제2 게이트 구조물들(226a, 226b)을 포함한다. 또한, 상기 반도체 장치는 외부 스페이서(150), 불순물 영역(105), 올려진 소스 드레인(ESD) 층(160), 금속 실리사이드 패턴(250), 제1 및 제2 콘택 플러그들(240, 270) 및 제1 및 제2 층간 절연막들(170, 230)을 더 포함할 수 있다.
제1 게이트 구조물(226a)은 제1 방향으로 연장되어, 적어도 기판(100)의 상기 액티브 영역 상에는 형성될 수 있으며, 또한 그 일부는 소자 분리막(110) 상에도 형성될 수 있다. 한편, 제2 게이트 구조물(226b)은 제1 게이트 구조물(226a)에 직접 접촉하며, 소자 분리막(110) 상에 형성될 수 있다.
제1 게이트 구조물(226a)은 기판(100) 및 소자 분리막(110) 상에 순차적으로 적층된 제1 게이트 절연막 패턴(120a), 제1 하부 게이트 전극(305a) 및 내부 스페이서막(205a)을 포함할 수 있다.
한편, 제2 게이트 구조물(226b)은 소자 분리막(110) 상에 형성된 제2 게이트 절연막 패턴(120b), 제2 게이트 절연막 패턴(120b) 상에 형성된 제2 하부 게이트 전극(305b), 제2 하부 게이트 전극(305b) 상에 형성된 상부 게이트 전극(210), 및 상부 게이트 전극(210)의 측벽을 감싸며 제2 하부 게이트 전극(305b) 일부 상면에 형성된 내부 스페이서(205b)를 포함할 수 있다.
이때, 제2 방향으로 제2 게이트 구조물(226b)의 제5 폭(w5)은 제1 게이트 구조물(226a)의 제4 폭(w4)보다 클 수 있다. 즉, 제2 게이트 절연막 패턴(120b) 및 제2 하부 게이트 전극(305b)은 각각 제1 게이트 절연막 패턴(120a), 제1 하부 게이트 전극(305a)보다 큰 폭을 가질 수 있다.
상기 반도체 장치에 포함된 제2 게이트 구조물(222b)은 도 16에 도시된 게이트 구조물(226)과 유사하게, 상부 게이트 전극(210)의 측벽을 감싸며 저유전 물질을 포함하는 내부 스페이서(205b)를 구비하므로, 제2 게이트 구조물(226b)과 제1 콘택 플러그(240) 사이에 발생할 수 있는 기생 커패시턴스를 감소시킬 수 있다. 이에 더하여, 제2 하부 게이트 전극(305b)이 내부 스페이서(205b)와 무관하게 상기 제2 방향으로 일정한 제5 폭(w5)을 가질 수 있으므로, 내부 스페이서(205b) 및 상부 게이트 전극(210)에 영향 받지 않고 원하는 전기적 특성을 유지할 수 있다.
한편, 제2 게이트 구조물(226b)에 포함된 상부 게이트 전극(210)은 저면에 비해 상면이 더 큰 폭을 가지므로, 제2 콘택 플러그(270)와 용이하게 접촉할 수 있다.
상기 반도체 장치에 포함된 제1 게이트 구조물(226a)은 제1 하부 게이트 전극(305a) 상에 상부 게이트 전극이 형성되지 않고 오로지 내부 스페이서막(205a)만 형성되므로, 제1 게이트 구조물(226a)에 인접하는 제1 콘택 플러그(240)와의 기생 커패시턴스 감소 효과가 극대화될 수 있다.
도 30 및 32는 예시적인 실시예들에 따른 반도체 장치 제조 방법의 단계들을 설명하기 위한 평면도들이고, 도 31 및 33은 상기 반도체 장치의 단면도들이다. 구체적으로 도 31 및 33은 도 30 및 32의 I-I' 라인 및 II-II' 라인을 따라 각각 절단한 단면도들을 포함한다. 상기 반도체 장치 제조 방법은 도 28 및 29에 도시된 반도체 장치를 제조하기 위해 사용될 수 있으나 반드시 이에 한정되는 것은 아니다. 또한, 상기 반도체 장치 제조 방법은 도 17 내지 도 19를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 포함하므로, 이에 대한 자세한 설명은 생략한다.
도 30 및 도 31을 참조하면, 도 17을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
이에 따라, 기판(100) 및 소자 분리막(110) 일부 상에 순차적으로 적층된 제1 게이트 절연막 패턴(120a) 및 제1 예비 게이트 전극(300a)을 포함하는 제1 예비 게이트 구조물(310a)이 형성될 수 있으며, 소자 분리막(110)의 나머지 부분 상에 순차적으로 적층된 제2 게이트 절연막 패턴(120b) 및 제2 예비 게이트 전극(300b)을 포함하는 제2 예비 게이트 구조물(310b)이 형성될 수 있다. 이때, 제2 방향으로 제1 예비 게이트 구조물(310a)의 제4 폭(w4)은 제2 예비 게이트 구조물(310b)의 제5 폭(w5)보다 작을 수 있다.
한편, 제1 및 제2 예비 게이트 구조물들(310a, 310b)의 측벽 상에는 외부 스페이서(150)가 형성될 수 있다.
도 32 및 33을 참조하면, 도 18 내지 도 19를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
이에 따라, 제1 예비 게이트 구조물(310a)에 인접한 기판(100)의 액티브 영역 상부에는 불순물 영역(105) 및 올려진 소스 드레인 층(160)이 형성될 수 있다.
한편, 제1 게이트 절연막 패턴(120a) 상에는 제1 하부 게이트 전극(305a) 및 내부 스페이서막(205a)이 형성되고, 제2 게이트 절연막 패턴(120b) 상에는 제2 하부 게이트 전극(305b), 상부 게이트 전극(210) 및 내부 스페이서(205b)가 형성될 수 있다. 이때, 순차적으로 적층된 제1 게이트 절연막 패턴(120a), 제1 하부 게이트 전극(305a) 및 내부 스페이서막(205a)은 제1 게이트 구조물(226a)을 형성할 수 있고, 순차적으로 적층된 제2 게이트 절연막 패턴(120b), 제2 하부 게이트 전극(305b), 상부 게이트 전극(210) 및 내부 스페이서(205b)는 제2 게이트 구조물(226b)을 형성할 수 있다.
다시 도 28 및 29를 참조하면, 도 16을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행함으로써 상기 반도체 장치를 완성할 수 있다.
전술한 반도체 장치는 게이트 구조물을 포함하는 다양한 메모리 장치 및 시스템에 사용될 수 있다. 예를 들어, 상기 반도체 장치는 디램(DRAM) 장치, 에스램(SRAM) 장치 등과 같은 휘발성 메모리 장치나, 플래시 메모리 장치, 피램(PRAM) 장치, 엠램(MRAM) 장치, 알램(RRAM) 장치 등과 같은 불휘발성 메모리 장치의 메모리 셀 영역 혹은 주변회로 영역에 사용되는 게이트 구조물에 적용될 수 있다. 또한, 상기 반도체 장치는 예를 들어, 중앙처리장치(CPU, MPU), 애플리케이션 프로세서(AP) 등과 같은 로직 소자에 포함되는 게이트 구조물에도 적용될 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100: 기판 110: 소자 분리막
120: 게이트 절연막 패턴 120a, 120b: 제1, 제2 게이트 절연막 패턴
130: 더미 게이트 전극 130a, 130b: 제1, 제2 더미 게이트 전극
140: 더미 게이트 구조물 140a, 140b: 제1, 제2 더미 게이트 구조물
150: 외부 스페이서 160: 올려진 소스 드레인 층
170, 230: 제1, 제2 층간 절연막
175, 235: 제1, 제2 리세스
177, 315: 리세스 178, 179: 제1, 제2 리세스
180: 예비 고유전막 패턴 185: 고유전막 패턴
185a, 185b: 제1, 제2 고유전막 패턴
190, 300: 예비 게이트 전극
195, 305: 하부 게이트 전극 195a, 305a: 제1 하부 게이트 전극
195b, 305b: 제2 하부 게이트 전극
200: 제1 내부 스페이서막
205: 제1 내부 스페이서 205a: 내부 스페이서막
205b: 내부 스페이서 210: 상부 게이트 전극
240, 270: 제1, 제2 콘택 플러그
250: 금속 실리사이드 패턴 260: 제2 내부 스페이서막
265: 예비 제2 내부 스페이서
267: 제2 내부 스페이서
300a, 300b: 제1, 제2 예비 게이트 전극
310: 예비 게이트 구조물 310a, 310b: 제1, 제2 예비 게이트 구조물

Claims (10)

  1. 기판 상에 형성된 게이트 절연막 패턴;
    상기 게이트 절연막 패턴 상에 형성된 하부 게이트 전극;
    상기 게이트 절연막 패턴 상에 형성되어, 상기 하부 게이트 전극의 저면 및 측벽을 감싸는 고유전막 패턴;
    저면의 폭이 상기 하부 게이트 전극의 상면 폭보다 좁고, 위로 갈수록 점차 커지는 폭을 가지며, 상기 하부 게이트 전극 상에 형성된 상부 게이트 전극; 및
    상기 고유전막 패턴의 상면 및 상기 하부 게이트 전극의 상면 일부 상에 형성되어, 상기 상부 게이트 전극의 측벽을 감싸는 제1 내부 스페이서를 포함하는 게이트 구조물을 구비하는 반도체 장치.
  2. 삭제
  3. 제 1 항에 있어서, 상기 게이트 구조물은,
    상기 게이트 절연막 패턴 상에 형성되어, 상기 고유전막 패턴의 외측벽을 감싸는 제2 내부 스페이서를 더 포함하는 것을 특징으로 하는 반도체 장치.
  4. 제 1 항에 있어서, 상기 상부 게이트 전극의 상면 폭은 상기 고유전막 패턴의 저면 폭과 동일한 것을 특징으로 하는 반도체 장치.
  5. 제 1 항에 있어서, 상기 제1 내부 스페이서는 저유전 물질을 포함하는 것을 특징으로 하는 반도체 장치.
  6. 제 1 항에 있어서, 상기 하부 게이트 전극은 높이에 따라 일정한 폭을 갖는 단일막으로 형성된 것을 특징으로 하는 반도체 장치.
  7. 기판 상에 게이트 절연막 패턴을 형성하는 단계;
    상기 게이트 절연막 패턴 상에 하부 게이트 전극을 형성하는 단계;
    상기 하부 게이트 전극 상면을 부분적으로 덮는 제1 내부 스페이서를 형성하는 단계; 및
    상기 제1 내부 스페이서 및 상기 하부 게이트 전극 상면에 의해 정의되는 공간을 매립하며, 저면의 폭이 상기 하부 게이트 전극의 상면 폭보다 좁고, 위로 갈수록 점차 넓어지는 폭을 갖는 상부 게이트 전극을 상기 하부 게이트 전극 상에 형성하는 단계를 포함하는 반도체 장치의 제조 방법.
  8. 제 7 항에 있어서, 상기 하부 게이트 전극을 형성하는 단계는,
    상기 게이트 절연막 패턴 상에 더미 게이트 전극을 형성하여 이들을 포함하는 더미 게이트 구조물을 정의하는 단계;
    상기 더미 게이트 구조물의 측벽을 커버하는 층간 절연막을 형성하는 단계;
    상기 더미 게이트 전극을 제거하여 상기 게이트 절연막 패턴을 노출시키는 개구를 형성하는 단계;
    상기 노출된 게이트 절연막 패턴, 상기 개구의 측벽 및 상기 층간 절연막 상에 고유전막을 형성하는 단계;
    상기 고유전막 상에 상기 개구의 나머지 부분을 채우는 게이트 전극막을 형성하는 단계;
    상기 층간 절연막 상면이 노출될 때까지 상기 게이트 전극막 및 상기 고유전막의 상부를 평탄화하여 상기 개구를 채우는 예비 게이트 전극 및 예비 고유전막 패턴을 형성하는 단계; 및
    상기 예비 게이트 전극 및 예비 고유전막 패턴을 부분적으로 제거하여, 상기 하부 게이트 전극 및 이의 저면 및 측벽을 감싸는 고유전막 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 제 8 항에 있어서, 상기 고유전막을 형성하는 단계 이전에,
    상기 노출된 게이트 절연막 패턴, 상기 개구의 측벽 및 상기 층간 절연막 상에 원자층 증착(Atomic Layer Deposition: ALD) 공정에 의해 제2 내부 스페이서막을 형성하는 단계; 및
    상기 제2 내부 스페이서막을 이방성 식각하여 상기 개구의 측벽 상에 예비 제2 내부 스페이서를 형성하는 단계를 더 포함하며,
    상기 하부 게이트 전극 및 상기 고유전막 패턴을 형성하는 단계는 상기 예비 제2 내부 스페이서를 부분적으로 제거하여 상기 고유전막 패턴의 측벽을 감싸는 제2 내부 스페이서를 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 소자 분리막에 의해 액티브 영역과 필드 영역이 정의되는 기판 및 상기 소자 분리막 상에 형성된 게이트 절연막 패턴;
    상기 게이트 절연막 패턴 상에 형성되며,
    적어도 상기 액티브 영역에 형성된 제1 부분; 및
    상기 필드 영역에 형성되며, 상기 제1 부분보다 큰 폭을 가지면서 상기 제1 부분에 연결되는 제2 부분을 포함하는 하부 게이트 전극;
    상기 게이트 절연막 패턴 상에 형성되며,
    상기 하부 게이트 전극의 제1 부분의 저면 및 측벽을 커버하는 제3 부분; 및
    상기 하부 게이트 전극의 제2 부분의 저면 및 측벽을 커버하는 제4 부분을 포함하는 고유전막 패턴;
    상기 하부 게이트 전극의 제1 부분 상면을 커버하는 내부 스페이서막;
    상기 하부 게이트 전극의 제2 부분 상에 형성되어, 저면의 폭이 상기 하부 게이트 전극의 제2 부분 상면의 폭보다 좁으며, 위로 갈수록 점차 커지는 폭을 갖는 상부 게이트 전극; 및
    상기 고유전막 패턴의 제4 부분 상면 및 상기 하부 게이트 전극의 제2 부분 상면 일부 상에 형성되어, 상기 상부 게이트 전극의 측벽을 감싸며 상기 내부 스페이서막에 접촉하는 내부 스페이서를 포함하는 반도체 장치.
KR1020130127005A 2013-10-24 2013-10-24 반도체 장치 및 그 제조 방법 KR102167625B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020130127005A KR102167625B1 (ko) 2013-10-24 2013-10-24 반도체 장치 및 그 제조 방법
US14/503,811 US9508820B2 (en) 2013-10-24 2014-10-01 Semiconductor devices and methods of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130127005A KR102167625B1 (ko) 2013-10-24 2013-10-24 반도체 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20150047218A KR20150047218A (ko) 2015-05-04
KR102167625B1 true KR102167625B1 (ko) 2020-10-19

Family

ID=52994430

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130127005A KR102167625B1 (ko) 2013-10-24 2013-10-24 반도체 장치 및 그 제조 방법

Country Status (2)

Country Link
US (1) US9508820B2 (ko)
KR (1) KR102167625B1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102167625B1 (ko) * 2013-10-24 2020-10-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102480219B1 (ko) * 2015-09-16 2022-12-26 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN106548940A (zh) * 2015-09-16 2017-03-29 联华电子股份有限公司 半导体元件及其制作方法
US9882023B2 (en) * 2016-02-29 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacers for self-aligned contacts
US10516030B2 (en) * 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10658486B2 (en) * 2017-05-18 2020-05-19 Taiwan Semiconductor Manufacutring Co., Ltd. Mitigation of time dependent dielectric breakdown
US10529823B2 (en) * 2018-05-29 2020-01-07 International Business Machines Corporation Method of manufacturing a semiconductor device having a metal gate with different lateral widths between spacers
US10529826B1 (en) * 2018-08-13 2020-01-07 Globalfoundries Inc. Forming self-aligned gate and source/drain contacts using sacrificial gate cap spacer and resulting devices
US11152222B2 (en) * 2019-08-06 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing prevention structure embedded in a gate electrode

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120326245A1 (en) * 2011-05-04 2012-12-27 International Business Machines Corporation Inversion thickness reduction in high-k gate stacks formed by replacement gate processes

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4577392A (en) * 1984-08-03 1986-03-25 Advanced Micro Devices, Inc. Fabrication technique for integrated circuits
KR940010564B1 (ko) * 1991-10-10 1994-10-24 금성일렉트론 주식회사 전계효과 트랜지스터 및 그 제조방법
EP0540276B1 (en) * 1991-10-31 1997-09-24 STMicroelectronics, Inc. A self-aligned contact process
KR100206876B1 (ko) * 1995-12-28 1999-07-01 구본준 모스전계효과트랜지스터 제조방법
KR100206878B1 (ko) * 1995-12-29 1999-07-01 구본준 반도체소자 제조방법
US5668021A (en) * 1996-06-04 1997-09-16 Motorola, Inc. Process for fabricating a semiconductor device having a segmented channel region
US5786256A (en) * 1996-07-19 1998-07-28 Advanced Micro Devices, Inc. Method of reducing MOS transistor gate beyond photolithographically patterned dimension
US5811350A (en) * 1996-08-22 1998-09-22 Micron Technology, Inc. Method of forming contact openings and an electronic component formed from the same and other methods
JP2925008B2 (ja) * 1997-01-30 1999-07-26 日本電気株式会社 半導体装置の製造方法
KR100243280B1 (ko) * 1997-02-05 2000-03-02 윤종용 반도체장치의 게이트패턴 및 그 제조방법
US5879998A (en) * 1997-07-09 1999-03-09 Advanced Micro Devices, Inc. Adaptively controlled, self-aligned, short channel device and method for manufacturing same
TW392357B (en) * 1998-02-10 2000-06-01 United Microelectronics Corp Manufacturing method for semiconductor device and structure manufactured by the same
TW372349B (en) * 1998-06-08 1999-10-21 United Microelectronics Corp Bridge prevention method for self-aligned metal silicide
KR20000007795A (ko) 1998-07-07 2000-02-07 윤종용 게이트와 소오스/드레인간의 기생 커패시턴스가 감소된 모스 트랜지스터 및 그 제조방법
US6261948B1 (en) * 1998-07-31 2001-07-17 Micron Technology, Inc. Method of forming contact openings
DE19983773T1 (de) * 1998-12-07 2002-03-28 Intel Corp Transistor mit eingekerbtem Gate
FR2788629B1 (fr) * 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
JP4540142B2 (ja) * 1999-01-19 2010-09-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TW432505B (en) * 1999-05-03 2001-05-01 United Microelectronics Corp Manufacturing method of gate
JP2000332242A (ja) * 1999-05-21 2000-11-30 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2001015749A (ja) 1999-07-02 2001-01-19 Sony Corp 半導体装置の製造方法
KR100327428B1 (ko) * 1999-08-09 2002-03-13 박종섭 반도체 소자의 제조 방법
US6159806A (en) * 1999-12-29 2000-12-12 United Microelectronics Corp. Method for increasing the effective spacer width
WO2001071807A1 (fr) * 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
US6504210B1 (en) * 2000-06-23 2003-01-07 International Business Machines Corporation Fully encapsulated damascene gates for Gigabit DRAMs
JP3651369B2 (ja) * 2000-07-19 2005-05-25 セイコーエプソン株式会社 半導体装置の製造方法
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6891235B1 (en) * 2000-11-15 2005-05-10 International Business Machines Corporation FET with T-shaped gate
US6461904B1 (en) * 2001-01-09 2002-10-08 Cypress Semiconductor Corp. Structure and method for making a notched transistor with spacers
US6406945B1 (en) * 2001-01-26 2002-06-18 Chartered Semiconductor Manufacturing Ltd. Method for forming a transistor gate dielectric with high-K and low-K regions
US6506649B2 (en) * 2001-03-19 2003-01-14 International Business Machines Corporation Method for forming notch gate having self-aligned raised source/drain structure
JP2003023147A (ja) * 2001-07-06 2003-01-24 Mitsubishi Electric Corp 半導体装置及びその製造方法
KR100477543B1 (ko) * 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6821855B2 (en) * 2002-08-29 2004-11-23 Micron Technology, Inc. Reverse metal process for creating a metal silicide transistor gate structure
JP2004111611A (ja) * 2002-09-18 2004-04-08 Renesas Technology Corp 半導体装置およびその製造方法
KR100499158B1 (ko) * 2003-02-28 2005-07-01 삼성전자주식회사 상부면적이 확장된 확장형 게이트 및 이를 구비하는반도체 소자의 제조방법
KR100602110B1 (ko) * 2003-10-23 2006-07-19 동부일렉트로닉스 주식회사 이중 스페이서를 갖는 반도체 소자 및 이의 제조 방법
US7095086B2 (en) * 2003-12-31 2006-08-22 Dongbu Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
KR100574338B1 (ko) * 2004-01-19 2006-04-26 삼성전자주식회사 반도체 장치의 금속 게이트 형성 방법
JP4511212B2 (ja) * 2004-02-20 2010-07-28 Okiセミコンダクタ株式会社 半導体装置及びその製造方法
KR20060068102A (ko) * 2004-12-15 2006-06-21 동부일렉트로닉스 주식회사 단채널 트랜지스터의 제조 방법
JP4954508B2 (ja) * 2005-08-05 2012-06-20 パナソニック株式会社 半導体装置
KR20070027954A (ko) * 2005-08-30 2007-03-12 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100817084B1 (ko) * 2007-02-02 2008-03-26 삼성전자주식회사 고전압 트랜지스터 및 그 제조방법
KR100814408B1 (ko) * 2007-04-04 2008-03-18 삼성전자주식회사 비휘발성 메모리 소자 및 이의 제조 방법.
US7517746B2 (en) * 2007-04-24 2009-04-14 United Microelectronics Corp. Metal oxide semiconductor transistor with Y shape metal gate and fabricating method thereof
KR20090020847A (ko) * 2007-08-24 2009-02-27 삼성전자주식회사 스트레인드 채널을 갖는 모스 트랜지스터의 제조 방법 및그에 의해 제조된 모스 트랜지스터
JP5130834B2 (ja) * 2007-09-05 2013-01-30 ソニー株式会社 半導体装置およびその製造方法
KR20090068541A (ko) * 2007-12-24 2009-06-29 주식회사 동부하이텍 반도체소자 및 그 제조 방법
JP2009188293A (ja) * 2008-02-08 2009-08-20 Nec Electronics Corp 不揮発性半導体記憶装置及びその製造方法
US20090212332A1 (en) * 2008-02-21 2009-08-27 International Business Machines Corporation Field effect transistor with reduced overlap capacitance
US8735235B2 (en) * 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
KR20100040031A (ko) * 2008-10-09 2010-04-19 삼성전자주식회사 트랜지스터, 상기 트랜지스터의 형성방법 및 상기 트랜지스터를 가지는 반도체 메모리 셀
US8048790B2 (en) * 2009-09-17 2011-11-01 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
DE102009047890B4 (de) * 2009-09-30 2012-02-02 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellverfahren für ein Halbleiterbauelement und Halbleiterbauelement mit Verbessertem Füllverhalten in einem Austauschgateverfahren durch Eckenverrundung auf der Grundlage eines Opferfüllmaterials
DE102009043628B4 (de) * 2009-09-30 2011-12-01 Globalfoundries Dresden Module One Llc & Co. Kg Verbesserte Füllbedingungen in einem Austauschgateverfahren durch Ausführen eines Polierprozesses auf der Grundlage eines Opferfüllmaterials
DE102009047891B4 (de) * 2009-09-30 2012-02-23 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines Transistors mit verbesserten Füllbedingungen in einem Austauschgateverfahren durch Eckenverrundung vor dem vollständigen Entfernen eines Platzhaltermaterials
KR20110042614A (ko) * 2009-10-19 2011-04-27 삼성전자주식회사 반도체 소자 및 그 형성방법
KR101675373B1 (ko) * 2010-03-24 2016-11-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101718981B1 (ko) * 2010-06-30 2017-03-23 삼성전자주식회사 콘택 플러그를 포함하는 반도체 소자
KR20120019917A (ko) * 2010-08-27 2012-03-07 삼성전자주식회사 반도체 장치의 제조방법
KR101675392B1 (ko) * 2010-10-12 2016-11-14 삼성전자 주식회사 반도체 장치의 제조 방법
US8519454B2 (en) * 2011-03-30 2013-08-27 International Business Machines Corporation Structure and process for metal fill in replacement metal gate integration
KR20120137861A (ko) * 2011-06-13 2012-12-24 삼성전자주식회사 비휘발성 기억 소자 및 그 제조 방법
US9490342B2 (en) * 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
DE102011077661B4 (de) * 2011-06-16 2016-08-11 Globalfoundries Inc. Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
JP5618941B2 (ja) * 2011-08-10 2014-11-05 株式会社東芝 半導体装置
US8809962B2 (en) * 2011-08-26 2014-08-19 Globalfoundries Inc. Transistor with reduced parasitic capacitance
KR20130039525A (ko) * 2011-10-12 2013-04-22 에스케이하이닉스 주식회사 다마신비트라인을 구비한 반도체장치 및 그 제조 방법
US8772168B2 (en) * 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
KR20140094917A (ko) * 2013-01-23 2014-07-31 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20140121634A (ko) * 2013-04-08 2014-10-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9041114B2 (en) * 2013-05-20 2015-05-26 Kabushiki Kaisha Toshiba Contact plug penetrating a metallic transistor
KR102167625B1 (ko) * 2013-10-24 2020-10-19 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120326245A1 (en) * 2011-05-04 2012-12-27 International Business Machines Corporation Inversion thickness reduction in high-k gate stacks formed by replacement gate processes

Also Published As

Publication number Publication date
US9508820B2 (en) 2016-11-29
KR20150047218A (ko) 2015-05-04
US20150115375A1 (en) 2015-04-30

Similar Documents

Publication Publication Date Title
KR102167625B1 (ko) 반도체 장치 및 그 제조 방법
US20220029018A1 (en) Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
EP3262688B1 (en) Field effect transistor with elevated active regions and methods of manufacturing the same
KR101388347B1 (ko) 고유전율 금속 게이트 장치를 위한 콘택
KR101575452B1 (ko) Finfet 디바이스 및 방법
US8058125B1 (en) Poly resistor on a semiconductor device
US8541274B1 (en) Methods of forming 3-D semiconductor devices with a nanowire gate structure wherein the nanowire gate structure is formed after source/drain formation
US8691640B1 (en) Methods of forming dielectrically isolated fins for a FinFET semiconductor by performing an etching process wherein the etch rate is modified via inclusion of a dopant material
US20130334614A1 (en) Structure and method for finfet device
US20090008714A1 (en) Semiconductor devices and methods of forming the same
US20120280291A1 (en) Semiconductor device including gate openings
KR20130074296A (ko) 반도체 장치 및 그 제조 방법
KR102293884B1 (ko) 반도체 소자의 제조 방법
KR101737490B1 (ko) 반도체 소자 및 그 제조 방법
US8580634B1 (en) Methods of forming 3-D semiconductor devices with a nanowire gate structure wherein the nanowire gate structure is formed prior to source/drain formation
US10361310B2 (en) Method of fabricating semiconductor devices
KR102241974B1 (ko) 반도체 장치 및 그 제조 방법
KR20140021080A (ko) 반도체 장치 및 그 제조 방법
KR101678427B1 (ko) 반도체 소자의 제조 방법
KR20150068084A (ko) 반도체 장치 및 그 제조 방법
US20140299889A1 (en) Semiconductor devices
US20090085075A1 (en) Method of fabricating mos transistor and mos transistor fabricated thereby
US7883965B2 (en) Semiconductor device and method for fabricating the same
JP2013239568A (ja) 半導体装置
JP2013045953A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant