KR101952960B1 - 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법 - Google Patents

플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법 Download PDF

Info

Publication number
KR101952960B1
KR101952960B1 KR1020120103114A KR20120103114A KR101952960B1 KR 101952960 B1 KR101952960 B1 KR 101952960B1 KR 1020120103114 A KR1020120103114 A KR 1020120103114A KR 20120103114 A KR20120103114 A KR 20120103114A KR 101952960 B1 KR101952960 B1 KR 101952960B1
Authority
KR
South Korea
Prior art keywords
precursor
gas
film
reaction zone
substrate
Prior art date
Application number
KR1020120103114A
Other languages
English (en)
Other versions
KR20130035880A (ko
Inventor
오카 타카히로
시미주 아키라
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20130035880A publication Critical patent/KR20130035880A/ko
Application granted granted Critical
Publication of KR101952960B1 publication Critical patent/KR101952960B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

단일 증착 사이클를 반복하는 PEALD에 의해 반응 구역에서 기판에 단상 다중 원소 필름을 형성하기 위한 방법. 단일 증착 사이클은: 반응물질과 플라즈마의 존재하에 기판에 전구체를 흡착시키는 단계와, 불활성 가스 플라즈마에 의해 기판에 흡착된 전구체를 분해시키는 단계와, 불활성 가스의 존재하에 반응물질 가스 플라즈마로 분해된 전구체를 반응시키는 단계를 포함한다. 다중 원소 필름은 실리콘과 필름의 매트릭스를 구성하는 적어도 두 개의 비금속 원소를 포함학고, 전구체는 실리콘과 선택적으로 매트릭스에 통합될 하나 이상의 비금속 원소를 포함하며, 반응물질 가스는 매트릭스에 통합될 적어도 하나의 비금속 원소를 포함한다.

Description

플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법{METHOD FOR FORMING A SINGLE-PHASE MULTI-ELEMENT FILM BY PEALD}
본 발명은 플라즈마 강화된 원자층 증착(PEALD)에 관한 것으로, 특히 PEALD에 의한 단상 다중-원소 필름을 형성하기 위한 방법에 관한 것이다.
SiO 또는 SiN 과 같은 SiX (X = B, C, N, 또는 O) 로 표현되는 Si-계 단일 원소 절연 필름 (즉, 금속 원소 및 서로 결합된 다른 비금속 원소인 Si를 포함하는 필름)은 반응물질로서, O2 또는 SiO에 대한 O3, 및 SiN에 대한 NH3를 사용하여 원자층 증착 (ALD)에 의해 증착된다. 이 "단일 원소(mono-element)는" 금속 원소뿐만 아니라 하나의 비금속 원소를 의미한다. 반응물질의 종류에 따라서, 베이스 기판 또는 포토레지스트(photoresist)는, 단일 원소 Si-계 절연 필름이 플라즈마에 노출될 때 발생되는 플라즈마 손상으로 인해 변형되기 쉽고, 단일 원소 Si-계 절연 필름의 에칭 선택성은 불충분하다. 위의 관점에서, 다중 원소 Si-계 필름이 개발 중에 있다. 용어 "다중 원소" 는 금속 원소뿐만 아니라 여러 비금속 원소를 의미한다. 다중 원소 절연 Si-계 필름을 증착하는 방법으로서, ALD 라미네이트 방법이 미국 2009/0209081 A1에 기술된 바와 같이 공지되어 있고, 여기서는, SiOC 필름에 대하여, 예를 들어, SiC 하위-층과 SiO 하위-층이 ALD에 의해 반복적으로 교대로 증착되어, SiOC 라미네이트 필름을 형성한다. 위의 방법은 서로 다른 두 가지 유형의 사이클(즉, SiC 하위-층에 대한 사이클, 그리고 SiO 하위-층에 대한 또 다른 사이클)을 사용하여, 단일 형식의 사이클을 사용하는 방법과 비교하여 더 많은 단계와 더 복잡한 작업이 필요하다.
본 발명의 실시예에 따른 하나의 목적은 상이한 하위-층을 적층 하지 않고 PEALD에 의한 다중 원소 Si-계 절연 필름을 증착하기 위한 방법을 제공하는 것이다.
관련 기술에 포함된 문제 및 해결책의 모든 설명은 단지 본 발명의 개념을 제공하는 목적만을 위하여 공개되었고, 공개의 일부 또는 전부가 발명이 이루어졌을 때 공지되었다는 것을 인정하는 것은 아니다.
일부 실시예들은 단일 증착 사이클을 반복하는 플라즈마 강화 원자층 증착 (PEALD)에 의해 반응 구역에서 기판상에 단상 다중 원소 필름을 형성하기 위한 방법을 제공하고, 상기 단일 증착 사이클은 : (i) 반응물질과 플라즈마 없는 상태에서 기판에 전구체를 흡착(adsorbing)시키는 단계와, (ii) 기판상에 흡착된 전구체를 불활성 가스 플라즈마에 의해 분해(decomposing)시키는 단계와, 그리고 (iii) 불활성 가스 플라즈마의 존재 하에서 분해된 전구체와 반응물질 가스 플라즈마를 반응(reacting)시키는 단계를 포함하고, 상기 다중 원소 필름은 실리콘과 필름의 매트릭스를 구성하는 적어도 두 개의 비금속 원소를 포함하고, 상기 전구체는 실리콘 및 선택적으로 매트릭스에 통합될 수 있는 적어도 하나의 비금속 원소를 포함하고, 상기 반응물질 가스는 매트릭스에 통합될 수 있는 적어도 하나의 비금속 원소를 포함한다.
일부 실시예들에서, 전구체를 분해시키는 단계와 분해된 전구체를 반응시키는 단계는 순서대로 지속적으로 실시된다. 이 기술에서 용어 "지속적으로" 는 타임 라인의 중단없이, 즉시 다음 단계로 진행하는 것을 의미한다. 일부 실시예들에서, 분해된 전구체를 반응시키는 단계의 기간은 전구체를 분해시키는 단계와 분해된 전구체를 반응시키는 단계의 총 기간의 50 % 미만 (30 %, 20 %, 또는 10 % 이하를 포함하고, 그러나 0% 보다 큰)이다. 일부 실시예들에서, 전구체를 분해시키는 단계와 분해된 전구체를 반응시키는 단계의 총 기간은 약 0.6 초 내지 약 2.5 초 이다( 약 0.8 초 내지 약 1.5 초 포함). 일부 실시예들에서, 분해된 전구체를 반응시키는 단계는 반응 구역에 RF 전력을 적용하는 한편, 반응물질 가스를 약 50 sccm 이하( 약 40 sccm, 약 30 sccm, 약 20 sccm, 약 10 sccm 이하를 포함하고, 그러나 적어도 약 5 sccm)의 유속으로 반응 구역에 공급하는 단계를 포함한다.
일부 실시예들에서, 불활성 가스는 단일 증착 사이클을 통해 지속적으로 공급되며, 전구체를 흡착하는 단계와 전구체를 분해하는 단계 사이에서 퍼지 가스로 작용하며, 분해된 전구체를 반응시키는 단계 후에, 전구체를 분해하는 단계에서 불활성 가스 플라즈마를 생성하는 데 사용된다.
일부 실시예들은 단일 증착 사이클을 반복하는 플라즈마 강화 원자층 증착 (PEALD)에 의해 반응 구역에서 기판에 단상 다중 원소 필름을 형성하기 위한 방법을 제공하고, 상기 단일 증착 사이클은 : (a) 반응물질 가스와 RF 전력을 적용하지 않고 기판 위에 전구체 가스를 공급하는 단계와, (b) 반응 구역을 퍼징(purging)하는 단계와, (C) 전구체 가스 또는 반응물질 가스의 적용 없이 RF 전력을 적용하는 한편 기판 위에 불활성 가스를 공급하는 단계와, (d) 전구체 가스를 공급하지 않고 RF 전력을 적용하는 한편 기판 위에 반응물질 가스를 공급하고, (e) 반응 구역을 퍼징(purging)하는 단계를 포함하고, 상기 다중 원소 필름은 실리콘 및 필름의 매트릭스를 구성하는 적어도 두 개의 다른 원소를 포함하고, 상기 전구체 가스는 실리콘 및 선택적으로 매트릭스에 통합될 수 있는 적어도 하나의 원소를 포함하고, 상기 반응물질 가스는 매트릭스에 통합될 수 있는 적어도 하나의 원소를 포함하고, RF 전력이 적용될 때 전구체 가스와 반응한다.
일부 실시예들에서, 전구체 가스는 단일 증착 사이클의 전체로서 단일 펄스에서 반응 구역에 공급된 하나 이상의 가스로 조성되어 있다. 일부 실시예들에서, 반응물질 가스는 단일 증착 사이클의 전체로서 단일 펄스에서 반응 구역에 공급된 하나 이상의 가스로 조성되어 있다. 일부 실시예들에서, 반응물질 가스는 단일 증착 사이클의 단일 펄스에서 각 반응 구역에 순서대로 별도로 공급된 두 개 이상의 가스로 조성되어 있다. 일부 실시예들에서, 불활성 가스는 단일 증착 사이클 동안 반응 구역에 지속적으로 공급된다. 일부 실시예들에서, 반응 구역의 퍼징은 반응 구역에 지속적으로 공급되는 불활성 가스를 사용하여 행해진다. 일부 실시예들에서, RF 전력은 불활성 가스를 공급하는 단계와 반응물질 가스를 공급하는 단계 동안 단일의 연속적인 펄스로 적용된다.
본 발명의 일면과 관련 기술을 통해 얻어지는 장점을 요약하기 위하여, 본 발명의 특정 목적과 장점이 본 명세서에 기술된다. 물론, 이러한 목적과 장점 모두가 본 발명의 임의의 특정 실시예에 따라 모두 성취될 필요는 없다는 것을 이해해야 한다. 따라서, 예를 들어, 본 발명이 속한 기술 분야에서 통상의 지식을 가진 사람들은, 여기서 배우거나 추천되는 다른 목적 또는 장점을 성취할 필요 없이, 여기서 배운 장점, 또는 장점 그룹을 성취하거나 최적화하는 방식으로 본 발명을 구현하거나 실행할 수 있음을 인식할 수 있다.
본 발명의 다른 측면, 특징 및 장점이 다음의 상세한 설명에서 명백해질 것이다.
본 발명의 이들 및 다른 특징을, 본 발명을 제한하고자 하는 것이 아닌 예시적인 의도인 바람직한 실시예의 도면을 참조하여 설명된다. 도면들은 예시적인 목적을 위해 상당히 단순화하였으며 일정 비율을 가질 필요는 없다.
본 발명은 다른 하위-층을 적층 하지 않고 ALD에 의한 다중-원소를 증착하는 방법을 제공하여, 단일 유형의 사이클을 사용하여, 즉 다른 하위-층을 적층하지 않고 다중 원소 Si-계 절열 필름을 증착하기 위한 PEALD에 의한 단상 다중 원소 필름을 형성하는 방법을 제공할 수 있는 효과가 있다.
도 1은 본 발명의 실시예에 따른 다중 원소 필름을 증착하기 위한 PEALD 장치를 나타내는 개략도.
도 2는 본 발명의 실시예에 따른 PEALD에 의한 다중 원소 필름을 증착하기 위한 하나의 사이클에 대한 순서를 도시한 도면으로서, 이 순서는 각 단계의 실제 기간 길이를 나타내지 않음.
도 3은 본 발명의 다른 실시예에 따른 PEALD에 의한 다중 원소 필름을 증착하기 위한 하나의 사이클에 대한 순서를 도시한 도면으로서, 이 순서는 각 단계의 실제 기간 길이를 나타내지 않음.
도 4는 비교적인 방법에 따른 PEALD 에 의한 다중 원소 필름을 증착하기 위한 하나의 사이클에 대한 순서를 도시하고 있고, 이 순서는 각 단계의 실제 기간 길이를 나타내지 않음.
본 명세서에서, 용어 "단일-상" 필름은 다른 증착 사이클을 반복하여 형성된 라미네이트 필름과 반대로 하나의 증착 사이클을 반복하여 형성된 필름을 의미한다. 용어 "희석 가스"(dilution gas )는, RF 전력이 적용될 때 플라즈마를 생성하는 가스를 의미하고, 이 플라즈마는 기판의 표면에 흡착된 전구체를 분해하지만, 일반적으로 기판에 형성된 결과적인 층의 매트릭스 안에 희석 가스의 원소(들)을 통합시키지 않는다. 희석 가스는 희 가스(rare gas ) 또는 기타 불활성 가스(inert gas )로 구성되며 퍼지 가스(purge gas)의 역할을 할 수 있다. "반응물질 가스" 는 RF 전력이 적용될 때, 플라즈마를 생성하는 가스를 의미하고, 이 플라즈마는 기판의 표면에 흡착된 전구체와 반응하고 기판상에 형성된 결과 층의 매트릭스에 반응 가스의 원소를 통합시킨다. 반응물질 가스는 일반적으로 적어도 하나의 비금속 원소로 구성되어 있다. 용어 "전구체"는 실리콘 및 기판에 형성된 결과 층의 매트릭스에 통합될 수 있는 적어도 하나의 비금속 원소를 포함하는 물질을 말한다.
이 명세서에서 "가스" 는 기화 고체 및/또는 액체를 포함할 수 있으며 가스의 혼합물로 조성될 수 있다. 마찬가지로, "하나"(a) 는 여러 종을 포함하는 종(species)이나 속(genus)을 의미한다. 이 명세서에서, 반응물질 가스, 희석 가스 및 전구체는 가스 종류의 측면에서 서로 다르거나 또는 상호 배제되고, 즉 이들 가스 유형의 카테고리 중에서 가스의 유형이 중복되지 않는다. 또한, 이 명세서에서, 두 개의 변수 숫자는 변수의 적용 범위를 조성할 수 있으며, 표시된 모든 범위는 끝점(endpoints)을 포함 또는 제외할 수 있다. 일부 실시예들에서, "필름" 은 전체 타깃 또는 관련 표면을 커버하는, 핀홀(pinholes) 없이 실질적으로 두께 방향에 수직하는 방향으로 지속적으로 확장하는 층, 또는 단순하게, 타깃 또는 관련 표면을 커버하는 층을 의미한다. 일부 실시예들에서, "층" 은 표면상에 형성된 특정 두께를 가진 구조체 또는 필름의 동의어를 의미한다. 필름 또는 층은 특정 특성을 갖는 분리된 단일 필름 또는 층, 또는 다중 필름 또는 층으로 조성될 수 있고, 인접 필름 또는 층 사이의 경계는 깨끗하거나 그렇지 않고, 물리적, 화학적 및/또는 임의의 다른 특성, 제조 공정 또는 순서, 및/또는 인접한 필름 또는 층의 기능 또는 목적에 기초하여 설정될 수 있다.
조건 및/또는 구조가 특정되지 않은 본 명세서에서, 본 발명이 속한 기술 분야에서 숙련된 자는 본 명세서의 관점에서 일상적인 실험에 의해, 이러한 조건 및/또는 구조를 쉽게 제공할 수 있다. 또한, 나중에 기술되는 예들을 포함하는 본 명세서에서, 특정 실시예들에서 적용된 숫자들은 일부 실시예들에서 적어도 ±50 % 의 범위에 의해 수정될 수 있고, 일부 실시예들에 적용된 범위는 하부 및/또는 상부 끝점을 포함하거나 또는 제외할 수 있다. 또한, 이 수치들은 대략적인 수치를 포함하고, 일부 실시예들에서, 평균, 중간, 대표적인, 대부분의 등을 지칭할 수 있다. 명세서에서 "실질적으로 동일한 ", "실질적으로 균일한" 등은 일부 실시예들에서 10% 미만, 5% 미만, 1% 미만, 또는 그 임의의 범위와 같은, 본 기술분야에서 숙련된 자들에는 중요하지 않은 차이, 또는 차이를 의미한다.
이 명세서에서, 모든 정의된 의미는 일부 실시예들에서의 일상적이고 관습적인 의미를 제외할 필요는 없다.
상기에서 논의된 바와 같이, 본 발명의 한가지 목적은 다른 하위-층을 적층하지 않고 ALD 에 의한 다중-원소 필름을 증착하는 방법을 제공하는 것이다. 단일 유형의 사이클을 사용하여, 즉 다른 하위-층을 적층 하지 않고 다중 원소 Si-계 절연 필름을 증착하기 위한 하나의 예(candidate)는 다음과 같다; 전구체와 반응물질은 Si (X, Y), (X, Y = B, C, N, 또는 O)로 표현되는 타깃 다중 원소 Si-계 필름을 얻기 위해 선택되고, 상기 전구체는 분해되어 반응물질과 플라즈마 표면 반응한다. 도 4는 PEALD에 의한 다중 원소 필름을 증착하기 위한 이러한 하나의 사이클 순서를 보여준다. 이 순서는 각 단계에서 실제 기간 길이를 나타내지 않는다. 이 도면에서, Ar과 같은 희석 가스와 O2 와 같은 반응물질 가스는 기판이 위치하는 반응 구역에 계속하여 공급되고, 전구체를 펄스에 공급하는 단계와, 반응 구역을 퍼징하는 단계와, 플라즈마 반응을 위하여 펄스에 RF 전원을 적용하는 단계와, 및 반응 구역을 퍼징하는 단계로 구성되는 사이클이 계속되어, 기판에 타깃 다중 원소 Si-계 필름을 형성한다. 그러나, O2 와 같은 반응물질 가스는 반응성이 매우 높고, 심지어 반응물질 가스의 양이 적을 때도, 산화 진행과 같은 플라즈마 표면 반응 및 그 결과로, 결과적인 필름의 조성이 예를 들어 SiOC을 형성하기보다는, 예를 들어 SiO로 변화하는 경향이 있다.
본 발명의 몇몇 실시예에서는, 반응 가스가 지속적으로 제공되지 않고, 반응 구역이 Ar, He, 및/또는 N2 와 같은 불활성 가스를 계속 공급하여 설정되는 불활성 가스 환경으로 기본적으로 유지되고, 펄스에 RF 전력을 적용하는 단계가 여러 단계로 나누어져 있다. 펄스에 전구체를 공급하는 단계와 반응 구역을 퍼징하는 단계 이후에, RF 전력이 반응물질이 없는 환경에서 유지되는 반응 구역에 공급되면, 불활성 가스 플라즈마로 전구체를 분해하고, 기판의 표면에 분해된 전구체의 밀도를 높인다. 다음, RF 전력이 공급되는 중에 반응물질 가스가 반응 구역에 공급되고, 따라서 산소 플라즈마와 같은 반응물질의 플라즈마를 생성하여, 산소와 같은 반응물질 가스의 원소를 기판상에 층 증착으로 통합한다. 이와 같이, RF 전력을 적용하는 단계는 여러 단계로 나누어지고, 이후 단계는 이전 단계에서 사용된 것과 다른 환경에서 실시된다. RF 전력을 인가하는 단계 후, 반응 구역을 퍼징하는 단계가 진행된다. 위의 단계가 하나의 사이클을 구성하고, 기판상에 타깃 다중 원소 Si-계 필름을 형성하기 위해 반복된다. 도 2는 본 발명의 실시예에 따른 PEALD에 의한 다중 원소 필름을 증착하기 위한 한 사이클에 대한 위의 순서를 보여준다. 이 순서는 각 단계의 실제 기간 길이를 나타내지 않는다. 일부 실시예들에서, 희석 가스는 사이클에 걸쳐 지속적으로 희석 가스를 공급하는 대신, 반응 구역을 퍼징하고 희석 가스 플라즈마를 생성하기 위해 펄스에 공급된다.
도 2는, O2와 같은 반응물질 가스의 펄스를 단축시켜 흐름 량을 감소시키고, 반응물질 가스의 적절한 양을 조절하여, 반응 가스의 반응성이 감소되고, 따라서 SiO (X) (X = B, C, N, 등)의 선택적 증착을 가능하게 하며, 그 조성이 SiO로 변화되지 않고 전구체와 반응물질의 조성에 따라 결정된다. 마찬가지로, 임의의 적당한 반응물질 가스 또는 반응물질 가스들에 있어서, 먼저 반응물질 가스 또는 가스들 없는 불활성 가스 플라즈마를 적용함에 의해, 반응물질 가스 또는 가스들의 양을 제어하고, 예를 들어, Si (X, Y, Z) (X, Y, Z = B, C, N, O, 등)로 표현된 다중 원소 Si-계 절연 필름이 선택적으로 획득될 수 있다.
상기에서, RF 전력이 반응물질 가스 없는 불활성 가스 환경으로 유지되는 반응 구역에 일차 적용되어 전구체를 분해하고 기판 표면에 분해된 성분의 밀도를 높게 할 수 있는 때문에, 불활성 가스로서, Ar, He, 및/또는 N2 가 사용되어, 플라즈마 손상에 취약한 하부 물질인 기판 또는 포토레지스트에 대한 손상을 방지할 수 있다.
도 3의 본 발명의 또 다른 실시예에 따른 PEALD에 의한 다중 원소 필름을 증착하기 위한 하나의 사이클에 대한 순서를 보여준다. 이 순서는 각 단계의 실제 기간 길이를 나타내지 않는다. 이 실시예에서, 두 개의 반응물질 가스(반응물질 1과 반응물질 2)가 사용된다. 도 2에 도시된 것과 다른 단계는, 각각 반응물질 1과 반응물질 2에 대응하는, 도 3에서 두 단계로 나누어진, RF 전력을 적용하는 이후의 단계이다. 일부 실시예들에서, 반응물질 1의 펄스와 반응물질 2의 펄스는 겹쳐지지 않고 계속된다. 일부 실시예들에서, 반응물질 1의 펄스와 반응물질 2의 펄스는 겹쳐지고 계속된다. 일부 실시예들에서, 반응물질 1의 펄스와 반응물질 2의 펄스는 중복되지 않고 퍼징에 의해 분리된다. 각 반응물질 가스가 반응 구역에 공급하는 한편, RF 전력이 적용된다. 반응물질 가스의 수는 필름의 타깃 조성과 전구체의 종류에 따라 일부 실시예에서 두 개 이상일 수 있다.
일부 실시예들에서, 단일 유형의 사이클은 ALD에 의한 SiOX 필름과 같은 다중 원소 필름을 형성하는 데 사용되기 때문에, 다중 원소 필름은, SiOC 필름을 형성하는 SiO에 대한 사이클 및 SiC에 대한 사이클과 같은 다른 유형의 사이클을 사용하여 형성된 라미네이트 필름과 비교하여, 단상 필름으로 간주된다. 라미네이트 필름과 비교할 때, 이 단상 필름은 조성이 매우 균일하며, 특히 깊이 방향으로의 필름 조성의 균일성이 우수하다.
다중 원소 필름에서 B, C, N, 및/또는 O와 같은 각 원소의 양은 전구체의 유형에 따라 변화한다. 전구체와 마찬가지로, 모든 적절한 실리콘 함유 화합물이 사용될 수 있으며, 전구체의 유형을 선택함에 의해, 전구체에서 얻어지고 필름에 통합되는 B, C, N, 및/또는 O의 함량이 제어될 수 있고, 따라서 결과적인 필름의 조성을 제어하게 된다.
일부 실시예들에서, 다중 원소 필름이 SiX(n) 으로 표현되고, 여기서 n은 2 내지 4의 정수이고, 각 X(n ) B, C, N, 및 O 으로부터 선택된 다른 하나의 원소이다. 일부 실시예들에서, 다중 원소 필름은 실리콘과 적어도 두 개의 비금속 원소를 포함하는 임의의 적절한 필름일 수 있으며, 이 비금속 원소는 SiOC, SiOCN, SiCN, SiBN, 그리고 SiCOB로 조성되는 그룹으로부터 선택된 필름을 포함하나, 여기에 제한되지 않는다 .
일부 실시예들에서, Si를 포함하는 전구체는 그 분자에 Si, N, H, 및 선택적으로 C 를 포함할 수 있다. 일부 실시예들에서, Si를 포함하는 전구체는 화학식 SiαHβXγ를 가질 수 있고, 상기 α와 β는 정수이며, γ는 제로를 포함하는 정수이고, X는 N 및/또는 CmHn 이고, m과 n은 정수이다. 일부 실시예들에서, α는 1 내지 5이고, β 는 1 내지 10 이고, γ 는 0 내지 6 일 수 있다. 일부 실시예들에서, m는 2 내지 18이고, n은 6 내지 30 일 수 있다. 일부 실시예들에서, Si를 포함하는 전구체는 상온에서 증기 또는 액체일 수 있다. 일부 실시예들에서, Si를 포함하는 전구체는 하나 이상의 전구체 수 있다. 일부 실시예에서, 전구체는 BDEAS 비스(디에틸아미노)실란 (bis(diethylamino)silane), BEMAS 비스(에틸메틸아미노)실란 (bis(ethylmethylamino)silane), 3DMAS 트리스디메틸아미노실란(trisdimethylaminosilane), and HEAD 헥사키세틸아미노실란(hexakisethylamiK(aminosilanes)로 구성되는 그룹으로부터 선택된 아미노실란(aminosilanes)을 포함할 수 있다. 일부 실시예들에서, Si를 포함하는 전구체는 디실아부탄( disilabutane)과 같은 알킬실란(alkylsilanes), 또는 디클로로테트라메틸디실란(dichlorotetramethyldisilane)과 같은 클로로실란(chlorosilanes)을 포함할 수 있다. 일부 전구체는 Si가 제공될 수 있으며, 선택적으로 적어도 하나의 비금속 원소가 제공될 수 있다. 일부 전구체는 Si, C 및 N이 제공되고, 그리고 일부 전구체는 Si와 C, 또는 Si와 N이 제공된다.
반응물질 가스는 매트릭스에 통합되어 RF 전력이 적용되는 경우 전구체 가스와 반응할 하나 이상의 원소를 포함한다. 일반적으로, 반응물질 가스는 비금속 원소만을 포함한다. 일부 실시예들에서, 반응물질 가스는 금속 원소를 포함한다. 일부 실시예들에서, 반응물질 가스는 O2, CO2 및/또는 N2O 와 같은 산소를 제공하는 가스, NH3 및/또는 N2 와 같은 질소를 제공하는 가스, TEB 트리에틸보레인(triethylborane)와 같은 붕소를 제공하는 가스, 및/또는 CH4 와 또는 기타 탄화수소와 같은 탄소를 제공하는 가스를 포함하는, 적절한 가스 또는 가스들 일 수도 있지만, 여기에 제한되지는 않는다. 희석 가스는 Ar, He, Kr, 및/또는 Xe와 같은 하나 이상의 희 가스, 및/또는 N2와 같은 기타 불활성 가스일 수 있다.
전구체와 반응 가스의 조합은 타깃 다중 원소 필름의 조성에 따라 선택된다. 예를 들어, 조합은 다음과 같다 :
표 1
Figure 112012075317493-pat00001

상기에서, 하나 이상의 반응물질 가스를 사용하는 경우, 일부 실시예들에서, 덜 반응성인 반응물질 가스가 먼저 공급되고, 더욱 반응성인 반응물질 가스가 표 1에 도시된 바와 같이 나중에 공급되고( 반응물질 1이 반응물질 2 보다 덜 반응성이다), 따라서 보다 효과적으로 더욱 반응성의 반응물질 가스의 반응성을 감소시키거나 제어하게 된다. 일부 실시예들에서, 이 공급 순서는 반대로 바뀔 수 있다. 일부 실시예들에서, 희석 가스의 흐름은 유지하면서 반응물질 가스가 반응 구역에 추가되고, 즉 반응물질 가스의 반응성이 불활성 가스 플라즈마에 의해 향상될 때 반응성 가스 플라즈마가 불활성 가스 플라즈마에 추가된다.
위에서, RI의 값 (633 nm의 굴절률)은 필름의 조성을 나타내는 것이다. 예를 들어, SiO 필름은 1.45의 RI를 가지고 있으며, 필름이 C, N, B, 및/또는 O와 같은 다른 원소를 포함하는 경우, RI이 변경될 것이 예상된다 따라서, RI를 사용하여, 필름이 다중 원소인지의 여부를 결정할 수 있다.
일부 실시예들에서, 다음과 같은 조건이 사용될 수 있다 :
1) 하나의 사이클 기간 : 약 1.4초 내지 약 6.0초, 일반적으로 단일 반응물질 가스의 사용에 약 1.4초 내지 약 3.5초, 일반적으로 두 반응물질 가스의 사용에 약 3.0초 내지 약 6.0초.
2) 전구체 펄스의 기간 : 약 0.5초 내지 약 10초, 일반적으로 약 0.5초 내지 약 1.5초.
3) 2)이후의 퍼징의 기간 : 약 0.2초 내지 약 10초, 일반적으로 약 0.2초 내지 약 1.0초. 일부 실시예들에서, 이 퍼징의 기간은 전구체 펄스의 기간보다 짧다.
4) 희석 가스 플라즈마의 기간(희석 가스에 대한 RF 전력의 적용) : 약 0.5초 내지 약 10초, 일반적으로 약 0.5초 내지 약 1.5초 .
5) 총 반응물질 가스 플라즈마의 기간(각 반응물질 가스에 대한 RF 전력의 적용) : 약 0.1초 내지 약 10초, 일반적으로 약 0.1초 내지 약 1.0초. 예를 들어, 총 기간이 두 개의 반응물질 가스를 사용할 때 10이면, 반응물질 1의 펄스의 기간은 9이고, 반응물질 2의 펄스의 기간은 1이다. 일부 실시예에서, 일반적으로 반응물질 2의 반응성이 반응물질 1보다 높으면, 반응물질 2의 펄스의 기간이 반응물질 1의 펄스의 기간보다 작다. 일부 실시예에서, 반응물질 1의 펄스의 기간은 반응물질 2의 펄스의 기간보다 작다. 일부 실시예들에서, 반응물질 가스 플라즈마의 기간은 희석 가스 프라즈마의 기간보다 짧다(일부 실시예들에서 50% 미만)
6) 5) 이후의 퍼징의 기간 : 약 0.2초 내지 약 10초, 일반적으로 약 0.2초 내지 약 1.0초. 일부 실시예들에서, 퍼징의 기간은 3)과 동일하다.
7) 전구체의 유속 (기화 탱크로부터 전구체 증기를 운반하는 캐리어 가스 포함) : 약 1.000 sccm 내지 약 5.000 sccm, 일반적으로 약 1.000 sccm 내지 약 2.000sccm.
8) 희석 가스의 유속 : 약 500 sccm 내지 약 5.000 sccm, 일반적으로 약 500 sccm 내지 약 2.000 sccm.
9) 각 반응물질 가스의 유속 : 약 30 sccm 내지 약 50 sccm.
10) 증착을 위한 반응 구역의 압력 : 약 200 Pa 내지 약 450 Pa, 일반적으로 약 220 Pa 내지 약 250 Pa.
11) 증착을 위한 반응 구역의 온도 : 약 50 ℃ 내지 약 200 ℃. 일반적으로 약 50 ℃ 내지 약 100 ℃.
12) 플라즈마를 생성하기 위한 RF 전력 : 기판의 면적당 약 0.04 W/cm2 내지 약 0.40 W/cm2 , 일반적으로 약 0.04 W/cm2 내지 약 0.10 W/cm2.
13) RF 전력의 주파수 : 13.56 MHz 또는 27 MHz, 일반적으로 13.56 MHz. 일반적으로 단일 주파수를 사용할 때, 일부 실시예들에서, 높은 RF 주파수 전력은 5 MHz의 이하의 낮은 RF 전력( 50 % 미만)과 조합하여 사용할 수 있다
14) 결과적인 다중-원소 필름 두께 : 약 3 nm 내지 약 25 nm, 일반적으로 약 3 nm 내지 약 10 nm.
15) 다중 원소 필름을 얻기 위한 사이클의 수: 약 200 내지 약 900 , 일반적으로 약 200 내지 약 700.
실시예들이 본 발명을 제한하고자 의도하지 않는 도면들을 참조하여 설명된다. 도 1은 본 발명의 실시예에 따른 다중 원소 필름을 증착하기 위한 PEALD 장치를 나타내는 개략도이다.
이 예에서, 반응 챔버(3)의 내부(11)에 평행하게 서로 마주하는 한 쌍의 전기 전도성 평면 플레이트 전극(4, 2)을 제공하여, 한 측면에 HRF 전력 (13.56 MHz 또는 27 MHz) (5) {필요시 5MHz 이하(400 kHz 내지 500 kHz)의 LRF 전원}을 적용하고, 다른 측면에 전기적으로 접지(12)하고, 플라즈마를 이들 전극 사이에 가한다. 온도 조절기가 하부 스테이지(2)(하부 전극)에 제공되며, 그 위에 배치된 기판(1)의 온도가 주어진 온도에서 일정하게 유지된다. 상부 전극(4)은 샤워 플레이트로서 작용하며, 전구체 가스는 가스 흐름 제어기(23), 펄스 흐름 제어 밸브(31), 및 샤워 플레이트(4)를 통해 반응 챔버(3) 안으로 들어간다. 반응물질 가스는 또한 가스 흐름 제어기(21), 펄스 흐름 제어 밸브(31), 및 샤워 플레이트(4)를 통해 반응 챔버(3) 안으로 들어간다. 펄스 흐름 제어 밸브의 사용은 ALD을 위한 가스의 펄스 공급을 가능하게 한다. 희석 가스는 지속적으로 가스 흐름 제어기(22)와 샤워 플레이트(4)를 통해 반응 챔버 안으로 들어간다. 부가적으로, 반응 챔버(3) 안에, 배기 파이프(6)가 제공되어 이것을 통해 반응 챔버(3)의 내부(11) 가스가 배출된다. 또한, 반응 챔버(3)에는 반응 챔버(3)의 내부(11) 안으로 밀봉 가스를 도입하는 밀봉 가스 흐름 제어기(24)가 제공된다. (반응 챔버의 내부에서 반응 구역과 전송 구역을 분리하기 위한 분리 판이 이 도면에는 생략되어 있다).
두 개 이상의 반응 가스를 사용하는 경우, 가스 흐름 제어기(21)와 펄스 흐름 제어 밸브(31)의 라인과 유사한 다른 가스 라인이 추가될 수 있다.
숙련된 기술자는 이 장치가 여기 어딘가에서 실시되게 기술된 증착과 반응기의 세척 공정을 하도록 프로그램되거나 설계된 하나 이상의 제어기(들)(도시 없음)을 포함할 수 있음을 인식할 것이다. 이 제어기(들)는 숙련된 기술자에 의해 자명한, 다양한 전원, 가열 시스템, 펌프, 로봇 및 가스 흐름 제어기 또는 반응기의 밸브들과 연통 된다.
예 1
다중 원소 유전체 SiOX 필름은 도 2에 도시된 순서와 도 1에 도시된 PEALD 장치를 사용하여 아래에 표시된 조건 하에서 300 mm의 기판상에 형성되었다. 이 예에서, X는 C와 N 이었다.
하나의 사이클 길이 : 3.1초 내지 4.1초
전구체 : BDEAS : 500 sccm 내지 1,000 sccm
희석 가스 : He : 2000 sccm
반응물질 가스 : O2 : 30 sccm
밀봉 가스 : He : 500 sccm
기판 온도 : 100 ℃
압력 : 230 Pa
고주파 RF 전력 (13.56 MHz의 주파수) : 0.08 W/cm2
전구체 공급 펄스 기간 : 1.5초
퍼지 기간 : 0.3초
희석 가스 플라즈마와 반응 가스 플라즈마의 총 기간 : 1.0초
사이클의 수 : 600 회
필름의 두께 : 10 nm 내지 20 nm
희석 가스 플라즈마와 반응 가스 플라즈마의 전체 기간을 1.0초로 유지하면서 반응물질 가스 플라즈마의 기간이 0초에서 1.0초로 변경되었다, 결과적인 각 필름은 633 nm의 굴절률이 측정되었다. 결과는 아래 표에 표시된다.
표 2
Figure 112012075317493-pat00002

표 2에 표시된 바와 같이, 반응물질 가스 플라즈마의 기간에 따라, 필름의 조성은 SiO에서 SiCN로 변화된다. 산소는 높은 반응성이 있기 때문에, 산소 플라즈마의 기간은 전체 플라즈마 지속 기간의 10 % 이어도, 충분한 양의 산소가 필름에 포함된 것으로 나타났다. 일반적으로, 반응물질 가스 플라즈마의 기간은 50 % 미만이고, 30 %, 20 %, 심지어 이하 10 % 미만 일 수 있고, 전체 플라즈마 기간의 0 % 이상일 수 있다.
예 2
다중 원소 SiCON 유전체 필름은 도 2에 도시된 순서와 도 1에 도시된 PEALD 장치를 사용하여 아래에 표시된 조건 하에서 300 mm 기판상에 형성되었다
한 사이클의 길이 : 2.5초
전구체 : BDEAS : 500 sccm
희석 가스 : Ar, He : 1000 sccm
반응물질 가스 : O2 : 최대 40 sccm
밀봉 가스 : He : 500 sccm
기판 온도 : 50 ℃
압력 : 200 내지 300 Pa
고주파 RF 전력 (13.56 MHz의 주파수) : 0.07 W/cm2
전구체 공급 펄스 기간 : 0.5초
퍼지 기간 : 0.3 초
희석 가스 플라즈마의 기간 : 1.0초
반응물질 가스 플라즈마의 기간 : 최대 0.4 초
사이클의 수 : 100 회
필름의 두께 : 10 nm
타깃 다중 원소 SiCON 필름을 획득한 후, 결과적인 필름의 조성이 XPS (좁은 스캔 오버레이)에 의해 분석되었고, 그 결과가 아래 표에 표시되었다. 필름은 얇기 때문에, 조성은 다른 스캔 각도 (45 °및 90 °)를 사용하여 분석되었고, 에너지는 45 °의 스캔 각도(약 4 내지 5 nm )에서 보다 90 °의 스캔 각도(약 7 nm 내지 8 nm)에서 더 큰 깊이로 필름을 관통할 수 있어, 상이한 깊이의 각도에서의 조성이 결정될 수 있다. 표에 표시된 바와 같이, 상이한 깊이에서의 조성은 서로 거의 동일하게 나타났으며 필름의 조성이 깊이 방향으로 균일 하다는 것을 증명한다.
표 3
Figure 112012075317493-pat00003

본 발명에 숙련된 기술자에 의해 본 발명의 정신을 벗어남이 없이 여러 다양한 변경이 행해질 수 있음이 이해될 것이다. 따라서, 본 발명의 형태는 오직 예시적인 것이고 본 발명의 영역을 제한하고자 하지 않음을 명백히 이해하여야 한다.

Claims (20)

  1. 단일 증착 사이클을 반복하는 플라즈마 강화 원자층 증착 (PEALD)에 의해 반응 구역에서 기판상에 단상 다중 원소 필름을 형성하기 위한 방법으로서,
    상기 단일 증착 사이클은, 반응물질과 플라즈마가 없는 상태에서 기판상에 전구체를 흡착하는 단계와, 기판상에 흡착된 전구체를 불활성 가스 플라즈마에 의해 분해시키는 단계와, 상기 불활성 가스 플라즈마의 존재 하에서 분해된 상기 전구체를 반응물질 가스 플라즈마와 반응(reacting)시키는 단계를 포함하고,
    상기 다중 원소 필름은, 필름의 매트릭스를 구성하는 적어도 두 개의 비금속 원소와 실리콘을 포함하고, 상기 전구체는 선택적으로 매트릭스에 통합될 수 있는 적어도 하나의 비금속 원소와 실리콘을 포함하고, 상기 반응물질 가스는 매트릭스에 통합될 수 있는 적어도 하나의 비금속 원소를 포함하는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  2. 제 1항에 있어서,
    상기 전구체를 분해시키는 단계와, 분해된 상기 전구체를 반응시키는 단계는 순서대로 지속적으로 실시되는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  3. 제 2항에 있어서,
    분해된 상기 전구체를 반응시키는 단계의 기간은 상기 전구체를 분해시키는 단계와 분해된 상기 전구체를 반응시키는 단계의 총 기간의 50 % 미만인, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  4. 제 3항에 있어서,
    상기 전구체를 분해시키는 단계와 분해된 상기 전구체를 반응시키는 단계의 총 기간은 0.6 초 내지 2.5 초인, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  5. 제 3항에 있어서,
    분해된 상기 전구체를 반응시키는 단계는 상기 반응 구역에 RF 전력을 적용하는 동안, 반응물질 가스를 50 sccm 이하의 유속으로 상기 반응 구역에 공급하는 단계를 포함하는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  6. 제 1항에 있어서,
    불활성 가스는 상기 단일 증착 사이클에 걸쳐 지속적으로 공급되고, 상기 전구체를 흡착하는 단계와 상기 전구체를 분해하는 단계 사이에 퍼지 가스로 작용하며, 분해된 상기 전구체를 반응시키는 단계 이후에 상기 전구체를 분해시키는 단계에서 불활성 가스 플라즈마를 발생시키는데 사용되는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  7. 제 1항에 있어서,
    상기 단일 증착 사이클은 300회 내지 900회 반복되는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  8. 제 1항에 있어서,
    상기 다중 원소 필름은 SiX(n)으로 표현되고, 여기서 n은 2 내지 4의 정수이고, 각 X(n)은 B, C, N 및 O 에서 선택된 서로 다른 하나의 원소인, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  9. 제 5항에 있어서,
    상기 반응물질 가스는 O2, CO2, N2O, NH3, N2, CH4 및 TEB(triethylborane)으로 구성되는 그룹으로부터 선택된 하나 이상의 가스인, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  10. 제 1항에 있어서,
    상기 전구체는 알킬실란(alkylsilane), 아미노실란(aminosilane) 및 클로로실란(chlorosilane)으로 구성되는 그룹으로부터 선택된 하나 이상의 가스인, 플라즈마 강화 원자층 증착에 의한 단상 다중 원소 필름을 형성하기 위한 방법.
  11. 제 6항에 있어서,
    상기 불활성 가스는 He, Ar 및 N2로 구성되는 그룹으로부터 선택된 하나 이상의 가스인, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  12. 제 7항에 있어서,
    상기 다중 원소 필름은 두께 방향을 따라 실질적으로 균일한 조성을 갖는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  13. 단일 증착 사이클을 반복하는 플라즈마 강화 원자층 증착 (PEALD)에 의해 반응 구역에서 기판에 단상 다중 원소 필름을 형성하기 위한 방법으로서,
    상기 단일 증착 사이클은, 반응물질 가스를 공급하지 않고, RF 전력을 적용하지 않는 동안 기판 위에 전구체 가스를 공급하는 단계와, 반응 구역을 퍼징하는 단계와, 전구체 가스 또는 반응물질 가스의 공급 없이 RF 전력을 적용하는 동안 기판 위에 불활성 가스를 공급하는 단계와, 전구체 가스의 공급 없이 RF 전력을 적용하는 동안 기판 위에 반응물질 가스를 공급하고, 상기 반응 구역을 퍼징하는 단계를 포함하고,
    상기 다중 원소 필름은, 필름의 매트릭스를 구성하는 적어도 두 개의 다른 원소 및 실리콘을 포함하고, 상기 전구체 가스는 선택적으로 매트릭스에 통합될 수 있는 적어도 하나의 원소 및 실리콘을 포함하며, 상기 반응물질 가스는 매트릭스에 통합될 수 있는 적어도 하나의 원소를 포함하고, RF 전력이 적용될 때 상기 전구체 가스와 반응하는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  14. 제 13항에 있어서,
    상기 전구체 가스는 상기 단일 증착 사이클의 전체로서 단일 펄스에서 상기 반응 구역에 공급되는 하나 이상의 가스로 구성되는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  15. 제 13항에 있어서,
    상기 반응물질 가스는 상기 단일 증착 사이클의 전체로서 단일 펄스에서 상기 반응 구역에 공급되는 하나 이상의 가스로 구성되는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  16. 제 13항에 있어서,
    상기 반응물질 가스는 상기 단일 증착 사이클의 단일 펄스에서 각 반응 구역에 순서대로 별도로 공급되는 두 개 이상의 가스로 구성되는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  17. 제 13항에 있어서,
    상기 불활성 가스는 상기 단일 증착 사이클 동안에 상기 반응 구역에 지속적으로 공급되는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  18. 제 17항에 있어서,
    상기 반응 구역의 퍼징은 상기 반응 구역에 지속적으로 공급되는 불활성 가스를 사용하여 행해지는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  19. 제 13항에 있어서,
    상기 반응물질 가스를 공급할 때 상기 RF 전력의 적용 기간은 상기 불활성 가스를 공급할 때 상기 RF 전력의 적용 기간보다 더 짧은, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
  20. 제 13항에 있어서,
    상기 RF 전력은 불활성 가스를 공급하는 단계와 반응물질 가스를 공급하는 단계의 동안에 단일의 연속적인 펄스에 적용되는, 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법.
KR1020120103114A 2011-09-30 2012-09-18 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법 KR101952960B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/250,721 2011-09-30
US13/250,721 US8569184B2 (en) 2011-09-30 2011-09-30 Method for forming single-phase multi-element film by PEALD

Publications (2)

Publication Number Publication Date
KR20130035880A KR20130035880A (ko) 2013-04-09
KR101952960B1 true KR101952960B1 (ko) 2019-02-27

Family

ID=47992961

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120103114A KR101952960B1 (ko) 2011-09-30 2012-09-18 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법

Country Status (2)

Country Link
US (1) US8569184B2 (ko)
KR (1) KR101952960B1 (ko)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8722546B2 (en) * 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP6125946B2 (ja) * 2013-08-08 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP5788448B2 (ja) * 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
TWI654336B (zh) * 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
TW201606116A (zh) * 2014-08-08 2016-02-16 尤金科技有限公司 具低蝕刻率之氧化薄膜之沉積方法及半導體裝置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6347548B2 (ja) * 2014-09-08 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9343297B1 (en) 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
KR20180002774A (ko) 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP2018093150A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11851756B2 (en) * 2017-09-14 2023-12-26 Versum Materials Us, Llc Methods for depositing silicon-containing films
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI761636B (zh) * 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023112320A1 (ja) 2021-12-17 2023-06-22 株式会社日立ハイテク 成膜方法およびプラズマ処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050064207A1 (en) 2003-04-21 2005-03-24 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20090209081A1 (en) 2007-12-21 2009-08-20 Asm International N.V. Silicon Dioxide Thin Films by ALD
JP2011176177A (ja) 2010-02-25 2011-09-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
FR2900276B1 (fr) * 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050064207A1 (en) 2003-04-21 2005-03-24 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20090209081A1 (en) 2007-12-21 2009-08-20 Asm International N.V. Silicon Dioxide Thin Films by ALD
JP2011176177A (ja) 2010-02-25 2011-09-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置

Also Published As

Publication number Publication date
US8569184B2 (en) 2013-10-29
KR20130035880A (ko) 2013-04-09
US20130084714A1 (en) 2013-04-04

Similar Documents

Publication Publication Date Title
KR101952960B1 (ko) 플라즈마 강화 원자층 증착에 의한 기판상에 단상 다중 원소 필름의 형성 방법
US20210066075A1 (en) Structures including dielectric layers and methods of forming same
US11453943B2 (en) Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102605757B1 (ko) 플라즈마 강화 원자 층 식각의 방법
TWI756350B (zh) 藉由熱ald及peald沉積氧化物膜之方法
TWI782003B (zh) 使用反應物氣體之緩慢下降流量之電漿輔助循環沉積方法
KR102373917B1 (ko) 원자층 증착에 의해 컨포멀 질화, 산화 또는 탄화된 유전체 막을 형성하는 방법
US8784951B2 (en) Method for forming insulation film using non-halide precursor having four or more silicons
US8784950B2 (en) Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US8329599B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8912101B2 (en) Method for forming Si-containing film using two precursors by ALD
US8722546B2 (en) Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US20130224964A1 (en) Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
KR20160125914A (ko) 플라즈마-강화 원자층 퇴적에 의해 적어도 5 원소들로 구성된 다원소 박막을 형성하는 방법
KR20150041755A (ko) TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법
KR101726946B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US20170107614A1 (en) Multi-Step Atomic Layer Deposition Process for Silicon Nitride Film Formation
US20190326555A1 (en) Flexible organic-inorganic passivation layer and method of fabricating the same
EP4058617B1 (en) Area selective atomic layer deposition method and tool
US20230140812A1 (en) Selective thermal deposition method
US20220350248A1 (en) Method of forming an adhesion layer on a photoresist underlayer and structure including same
KR20220027026A (ko) 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
KR102633017B1 (ko) 이트륨-함유 막들을 증착하기 위한 방법들 및 장치
US11970769B2 (en) Cyclical deposition methods
US20240175124A1 (en) Cyclical deposition methods and structures formed using the methods

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant