KR101312676B1 - 액티브 냉각 기판 지지체 - Google Patents

액티브 냉각 기판 지지체 Download PDF

Info

Publication number
KR101312676B1
KR101312676B1 KR1020060077289A KR20060077289A KR101312676B1 KR 101312676 B1 KR101312676 B1 KR 101312676B1 KR 1020060077289 A KR1020060077289 A KR 1020060077289A KR 20060077289 A KR20060077289 A KR 20060077289A KR 101312676 B1 KR101312676 B1 KR 101312676B1
Authority
KR
South Korea
Prior art keywords
substrate support
substrate
conductive body
support assembly
temperature
Prior art date
Application number
KR1020060077289A
Other languages
English (en)
Other versions
KR20070021077A (ko
Inventor
사무엘 리웅
수호 조
윌리엄 알렌 바그레이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070021077A publication Critical patent/KR20070021077A/ko
Application granted granted Critical
Publication of KR101312676B1 publication Critical patent/KR101312676B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Abstract

+/-5℃의 온도 균일성으로 처리 챔버 내에서 기판의 온도를 제어하는 기판 지지 조립체 및 방법이 제공된다. 기판 지지 조립체는, 알루미늄 재료를 포함하는 열 전도성 바디, 상기 열 전도성 바디의 표면 상에 위치하여, 그 위에 대면적 유리 기판을 지지하도록 구성된 기판 지지 표면, 상기 열 전도성 바디 내에 매립된 하나 또는 둘 이상의 가열 요소, 및 상기 열 전도성 바디 내에 매립되어, 상기 하나 또는 둘 이상의 가열 요소의 주위에 위치하는 하나 또는 둘 이상의 냉각 채널을 포함한다. 본 발명의 상기 기판 지지 조립체를 포함하는 처리 챔버가 또한 제공된다.

Description

액티브 냉각 기판 지지체{ACTIVE COOLING SUBSTRATE SUPPORT}
도 1은 CVD 처리 챔버 내의 기판 지지체의 개략적인 단면도를 도시한다.
도 2는 본 발명의 기판 지지 조립체를 구비한 처리 챔버의 일 실시예의 개략적인 단면도를 도시한다.
도 3a는 본 발명의 일 실시예에 따른 기판 지지 바디의 평면도이다.
도 3b는 본 발명의 다른 실시예에 따른 기판 지지 바디의 다른 평면도이다.
도 4a는 본 발명의 일 실시예에 따른 기판 지지 바디의 평면도이다.
도 4b는 본 발명의 다른 실시예에 따른 기판 지지 바디의 다른 평면도이다.
도 4c는 본 발명의 다른 실시예에 따른 기판 지지 바디의 또 다른 평면도이다.
도 5는 본 발명의 기판 지지 바디의 일 실시예의 단면도이다.
도 6은 처리 챔버 내의 기판의 온도를 제어하는 방법의 일 실시예의 흐름도이다.
본 발명의 실시예는, 일반적으로 평판 기판 처리에 사용되는 기판 지지체를 제공한다.
액정 디스플레이(LCD; liquid crystal displays) 또는 평판 디스플레이(FPD; flat panel displays)는 컴퓨터와 텔레비전 모니터, 퍼스널 디지털 어시스턴트(PDAs; personal digital assistants), 및 휴대폰뿐 아니라, 태양전지(solar cell) 등과 같은 액티브 매트릭스 디스플레이에 흔히 사용된다. 일반적으로, 평판 디스플레이는 2개의 유리판을 포함하며, 2개의 유리판은 그들 사이에 삽입된 액정 재료의 층을 갖는다. 적어도 하나의 유리판은 그 위에 배치되는 적어도 하나의 전도성 필름을 포함하며, 전도성 필름에는 전원이 결합된다. 전원으로부터 전도성 필름으로 공급되는 전력은 크리스털 재료의 배향을 변화시켜서 평판 디스플레이 상에 텍스트 또는 그래픽과 같은 패턴을 형성한다. 평판 제조에 사용되는 기판은 크기가 커서 종종 300mm × 400mm를 넘고, 4 평방 미터까지 및 그 초과의 표면적이 고려된다. 그에 상응하여, 대면적 기판(large area substrate)을 처리하는데 사용되는 기판 지지체는 기판의 넓은 표면적을 수용하도록 비례적으로 크다.
플라스마 강화 화학 기상 증착(PECVD; plasma enhanced chemical vapor deposition)은 기판 상에 박막을 증착하도록 평판 디스플레이 제조에 종종 채용된다. PECVD는 일반적으로 플라스마로 에너지화(예를 들어, 여기(excited))되도록 진공 처리 챔버로 전구체 가스(precursor gas)를 도입함으로써 이루어진다. 도 1은 기판(12)을 지지하도록 그 안에 배치된 지지 플레이트(18) 및 서셉터(22)를 구비하는 CVD 처리 챔버(2)의 개략적인 단면도이다(실척은 아님; not to scale). 처리 챔버(2)의 최상부 근처의 가스 입구(14)를 통해 확산기 플레이트(diffuser plate; 16) 내로 유동하는 반응성 전구체 가스는, 온도 제어된 기판 지지체 또는 서셉터(22) 상에 위치된 기판(12)의 표면 상에 재료의 층을 형성하도록 여기된다. 측벽(8)에 배치된 개구부(10)는 (도시되지 않은) 로봇이 처리 챔버(2)로 그리고 처리 챔버(2)로부터 기판(12)을 전달하고 회수할 수 있도록 한다. 서셉터(22)를 지지하도록 지지 샤프트(20)에 결합된 지지 플레이트(18)는 전형적으로 산화 알루미늄과 같은 세라믹 재료로 된 단일의 직사각형 플레이트로 제조되며, 서셉터(22)의 영역을 빈틈없이 덮는다(closely covers). 종래부터 CVD 챔버용 서셉터(22)는 알루미늄으로 된 단일의 직사각형 플레이트로 제조되어 왔으며, 전원(24)으로부터 공급된 에너지 및 열전기쌍(thermocouples)을 구비하는 매립된 히터(도시되지 않음)에 의해 전형적으로 가열된다. 히터는 또한 서셉터(22)의 배면에 위치할 수 있으며, 또는 클램프 플레이트에 의해 서셉터(22) 상에 클램핑될 수 있다.
일반적으로 처리 챔버(2)의 기판 지지체는 실온으로부터 500℃ 미만의 고온으로 가열될 수 있고, 적정한 지지체가 없는 경우에 서셉터(22)가 편향되고 "처질(droop)" 수 있다. 지지 플레이트(18)의 세라믹 재료는 연성 알루미늄으로 제조된 서셉터(22)를 지지하기 위해 사용되어 왔다. 그러나 세라믹은 비교적 양호하지 못한 열 전도체이며, 따라서 가열된 서셉터와 접촉하는 지지 플레이트(18)의 고온(hotter) 상부 표면과 지지 플레이트(18)의 저온(cooler) 하부 표면 사이에 온도 구배를 나타내며, 그 결과 지지 플레이트(18)는 그 외부 둘레(perimeter)에서 하방으로 편향된다. 서셉터에 의해 지지되는 기판은 서셉터에 따르는(conform) 경향이 있으며, 이에 따라 또한 편향된다. 그 결과, 확산기 플레이트(16)와 기판(12) 사이의 수직 간격은 확산기 플레이트(16)로부터 거리(34)를 갖는 기판(12)의 중앙 부분 사이에서 변화한다. 큰 편향 정도로부터 야기된 보다 큰 거리(36)는 그 둘레 근처에 위치한다. 수직 간격의 차이(즉, 기판 편향 거리)는 대면적 기판 상에 배치된 증착된 필름의 균일성을 크게 감소시킨다.
추가로, PEPVD 챔버 내의 플라스마 충돌(striking) 이후, 플라스마로부터의 에너지는 또한 기판 및 기판 지지체, 예를 들어 서셉터를 향해 지향되는 열을 생성한다. 따라서 서셉터 상에 배치된 처리 기판에 대해 일시적인 온도 증가 또는 스파이크(예를 들어, 약 30 내지 50℃의 증가 또는 150℃로부터 20% 내지 30%의 온도 증가)의 문제가 존재한다. 이러한 급격한 온도 변화는 처리되는 기판 상에서 일정한 온도를 유지하기 위해 제어될 필요가 있다. 추가로, 처리 이후 및 원격 플라스마 클리닝, RF 보조 냉각 및/또는 챔버 부분 클리닝 및 유지보수 중에 처리 챔버의 서셉터의 냉각이 또한 요구된다. 그러나 대부분의 PECVD 챔버는 서셉터 내에 어떠한 냉각 디자인도 갖지 않거나(즉, 자체적으로 실온으로 천천히 냉각됨) 또는 서셉터 내가 아닌, 기판의 후면을 둘러싸는 냉각 메커니즘을 사용한다. 이러한 종래 기술 디자인은 대면적 기판을 위한 일정한 처리 온도를 유지하는데 어려움을 나타내며, 종종 기판의 넓은 표면에 걸쳐 국부적인 온도 변화를 야기한다. 그 결과, 더 얇은 막 두께의 스팟(spot)으로서 종종 나타나는 필름 두께의 변화가 관측되었으며, 이는 다음 세대의 평판 또는 태양전지 디바이스에 유해하다.
따라서, 기판 지지체의 온도를 원하는 범위로 일정하게 제어하는 개선된 방법 및 장치에 대한 필요성이 있다.
처리 챔버, 기판 지지 조립체 및 처리 챔버 내에서 기판의 온도를 제어하는 방법에 대한 실시예가 제공된다. 본 발명의 일 실시예에서, 대면적 기판을 처리 챔버 내에서 지지하도록 구성된 기판 지지 조립체는 열 전도성 바디, 상기 열 전도성 바디의 표면 상에 위치하여 그 위에 상기 대면적 기판을 지지하도록 구성되는 기판 지지 표면, 상기 열 전도성 바디 내에 매립된 하나 또는 둘 이상의 가열 요소, 및 상기 하나 또는 둘 이상의 가열 요소 위에서 상기 열 전도성 바디 내에 매립되는 하나 또는 둘 이상의 냉각 채널을 포함한다.
다른 실시예에서, 기판 지지 조립체는 하나 또는 둘 이상의 냉각 채널을 포함할 수 있으며, 상기 냉각 채널은 상기 열 전도성 바디 내에 매립되어 하나 또는 둘 이상의 가열 요소의 위치 주위에서 나선형 또는 소용돌이형 구조로 루프를 이룬다(loop). 또 다른 실시예에서, 하나 또는 둘 이상의 냉각 채널은 인-플로우 루프(in-flow loop) 및 아웃-플로우 루프(out-flow loop)를 포함한다. 인-플로우 루프 및/또는 아웃-플로우 루프는 각각 열 전도성 바디 내에서 나선형 구조로 구성될 수 있다. 또 다른 실시예에서, 이웃하는 냉각 채널들은 반대되는 인-플로우 및 아웃-플로우 방향으로 유동하는 냉각 유체를 포함한다.
추가로, 챔버 바디, 가스 분배 플레이트 조립체, 및 대면적 기판을 그 위에 지지하기 위한 기판 지지 조립체를 포함하는 처리 챔버가 제공된다. 기판 지지 조립체는 열 전도성 바디, 열 전도성 바디의 표면 상에 위치되어 그 위에 대면적 유리 기판을 지지하도록 구성된 기판 지지 표면, 상기 열 전도성 바디 내에 매립되는 하나 또는 둘 이상의 가열 요소, 및 상기 열 전도성 바디 내에서 하나 또는 둘 이상의 가열 요소 위에 매립되며 하나 또는 둘 이상의 가열 요소 주위에 나선형 또는 소용돌이형 구조로 위치하는 하나 또는 둘 이상의 냉각 채널을 포함할 수 있다.
또 다른 실시예에서, 처리 챔버 내에서 대면적 기판의 온도를 유지하기 위한 방법은 상기 대면적 기판을 처리 챔버의 기판 지지 조립체의 기판 지지 표면 상에 위치시키는 단계, 상기 하나 또는 둘 이상의 냉각 채널 내에서 일정한 유량으로 기체 냉각 재료를 일정하게 유동시키는 단계, 및 상기 하나 또는 둘 이상의 가열 요소의 가열 전력을 조정함으로써 상기 대면적 기판의 온도를 유지하는 단계를 포함한다.
본 발명의 전술된 특징들이 상세히 이해될 수 있도록, 상기에 간략히 요약된 본 발명은 첨부 도면에 일부가 도시된 실시예를 참조하여 보다 구체적으로 설명될 수 있을 것이다. 그러나 본 발명이 다른 동등하게 유효한 실시예들을 허용할 수 있으므로, 첨부된 도면들은 오직 본 발명의 전형적인 실시예만을 도시하며, 그에 따라 본 발명의 범주를 제한하는 것으로 간주되지 않을 것임을 주지하여야 한다. 이해를 돕기 위해, 가능한 한 동일한 참조 부호들이 도면들에 공통적인 동일한 요소들을 지시하는데 사용되었다.
본 발명은 대면적 기판에 대하여 처리 챔버 내에서 기판의 온도를 제어하는 기판 지지 조립체 및 방법을 제공한다. 도 2는 본 발명의 일 실시예에 따른 예시적인 처리 챔버(100)를 도시한다. 본 발명은, 예시적으로 California, Santa Clara의 Applied Materials, Inc.의 계열사(division)인 AKT로부터 입수가능한 것과 같은 대면적 기판을 처리하기 위한 플라스마 강화 화학 기상 증착 처리 챔버를 참조하여 이하에서 기술된다. 그러나 본 발명은 물리 기상 증착 시스템, 이온 주입 시스템(ion implant system), 식각 시스템, 화학 기상 증착 시스템, 및 처리 챔버 내에서 기판 지지체 상의 기판의 온도를 제어하는 것이 바람직한 임의의 다른 시스템과 같이 다른 시스템 구성들에서 사용될 수 있는 것으로 이해되어야 할 것이다.
처리 챔버(100)는 챔버 바디(102)를 포함하며, 챔버 바디(102)는 처리 용적(140)을 부분적으로 한정하는 바닥부(108) 및 벽체(106)를 갖는다. 처리 용적(140)은 전형적으로 대면적 유리 기판과 같은 기판(112)을 처리 챔버(100) 안팎으로 이동시키는 것을 용이하게 하기 위해 (도시되지 않은) 밸브 및 포트를 통해 접근된다. 벽체(106)는 리드 조립체(110)를 지지하며, 리드 조립체(110)는 처리 챔버(100)로부터 임의의 가스 및 처리 부산물을 배출하기 위한 (도시되지 않으며, 다양한 펌핑 구성요소를 포함하는) 배기 포트에 처리 용적(140)을 결합시키는 펌핑 플레넘(114)을 포함한다. 처리 챔버(100)는 보통 하나 또는 둘 이상의 소스 화합물(source compounds) 및/또는 전구체의 전달을 위한 하나 또는 둘 이상의 공급원(104), 예를 들어 실리콘 함유 화합물 공급원, 산소 함유 화합물 공급원, 수소 가스 공급원, 탄소 함유 화합물 공급원, 등등 및/또는 그 조합에 결합된다.
기판 지지 조립체(138)는 일반적으로 챔버 바디(102)의 바닥부 상에 배치된다. 지지 조립체(138)는 일반적으로 접지되어, 리드 조립체(110)와 기판 지지 조립체(138) 사이에 위치되는 가스 분배 플레이트 조립체(118) (또는 처리 챔버(100)의 리드 조립체(110) 근처 또는 그 안에 위치하는 다른 전극)로 전원(122)에 의해 공급된 RF 전력이, 기판 지지 조립체(138)와 가스 분배 플레이트 조립체(118) 사이의 처리 용적(140) 내에 있는 가스, 소스 화합물 및/또는 전구체를 여기시킬 수 있다. 전원(122)으로부터의 RF 전력은 일반적으로 화학 기상 증착 처리를 구동시키도록 기판(112)의 크기에 상응하게 선택된다. 일 실시예에서, 약 400W 또는 그 초과, 예컨대 약 2,000W 내지 약 4,000W 또는 약 10,000W 내지 약 20,000W의 RF 전력이 처리 용적(140) 내에 전기장을 생성하도록 전원(122)으로 인가될 수 있다. 예를 들어, 약 0.2watts/cm2 또는 그 초과, 예컨대 약 0.2watts/cm2 내지 약 0.8watts/cm2, 또는 약 0.45watts/cm2의 전력 밀도가 본 발명의 저온 기판 증착 방법에 적합하도록(compatible with) 사용될 수 있다. 전원(122) 및 (도시되지 않은) 매칭 네트워크는 처리 용적(140) 내의 전구체 가스로부터 처리 가스의 플라스마를 생성하고 유지한다. 바람직하게는 13.56MHz의 고주파 RF 전력이 사용될 수 있지만, 이는 임계적인 것은 아니며 더 낮은 주파수가 사용될 수도 있다. 게다가, 챔버의 벽체가 세라믹 재료 또는 양극산화된 알루미늄 재료로 커버됨으로써 보호될 수 있다.
일반적으로 기판 지지 조립체(138)는 샤프트(142)에 결합되고 (도시되지 않은) 리프트 시스템에 연결되어 (도시된 바와 같은) 상승된 처리 위치와 하강된 기판 전달 위치 사이에서 기판 지지 조립체(138)를 이동시킨다. 샤프트(142)는 추가로 기판 지지 조립체(138)와 처리 챔버(100)의 다른 구성 요소 사이에 전기 리드 및 열전기쌍 리드를 위한 도관을 제공한다. 벨로우즈(146)가 기판 지지 조립체(138)에 결합되어, 처리 용적(140)과 처리 챔버(100) 외부의 대기 사이에 진공 밀봉부를 제공하고, 기판 지지 조립체(138)의 수직 이동을 용이하게 한다. 기판 지지 조립체(138)의 리프트 시스템은 일반적으로 기판(112)과 가스 분배 플레이트 조립체(118) 사이의 간격이 처리중에 약 400mils 또는 그 초과와 같이 최적화되도록 조정된다. 이 간격을 조정하는 능력은 넓은 기판의 영역에 걸쳐서 요구되는 필름 균일성을 유지하면서, 광범위한 증착 조건에 걸쳐서 처리가 최적화되도록 할 수 있다.
기판 지지 조립체(138)는 전도성 바디(124)를 포함하며, 전도성 바디(124)는 기판 처리중에 처리 용적(140) 내에서 기판(112)을 그 위에 지지하는 기판 지지 표면(134)을 갖는다. 전도성 바디(124)는 열 전도성을 제공하는 금속 또는 금속 합금 재료로 제조될 수 있다. 일 실시예에서, 전도성 바디(124)는 알루미늄 재료로 제조된다. 그러나 다른 적합한 재료가 또한 사용될 수 있다. 기판 지지 조립체(138)는, 기판 처리중에 기판 지지 표면(134) 상에 배치된 기판(112)을 둘러싸는 쉐도우 프레임(148)을 추가로 지지한다.
일반적으로 쉐도우 프레임(148)이 기판(112) 및 기판 지지 조립체(138)의 가장자리에서 증착을 방지하여서, 기판(112)은 지지 조립체(138)에 붙지 않는다. 쉐도우 프레임(148)은, 기판 지지 조립체(138)가 (도시되지 않은) 하부 비-처리 위치에 있는 경우, 챔버 바디(102)의 내부 벽체를 따라 일반적으로 위치된다. 기판 지지 조립체(138)가, 도 2에 도시된 바와 같이, 상부 처리 위치에 있는 경우, 쉐도우 프레임(148) 상의 하나 또는 둘 이상의 정렬 그루브를 하나 또는 둘 이상의 정렬 핀(202)과 매칭시킴으로써, 쉐도우 프레임(148)이 기판 지지 조립체(138)의 전도성 바디(124)에 맞물리고 정렬될 수 있다. 하나 또는 둘 이상의 정렬 핀(202)은, 전도성 바디(124)의 둘레 근처 및 그 위에 배치된 하나 또는 둘 이상의 정렬 핀 홀(204)을 통과하도록 구성된다. 하나 또는 둘 이상의 정렬 핀(202)은 선택적으로 지지 핀 플레이트(234)에 의해 지지될 수 있어서, 기판 로딩 및 언로딩중에 전도성 바디(124)와 함께 이동 가능하다.
온도 제어되는 기판 지지 조립체(138)는 전원(174)에 결합되는 하나 또는 둘 이상의 전극 및/또는 가열 요소(132)를 또한 포함할 수 있으며, 그에 따라 미리 정해진 온도 범위, 예를 들어 약 100℃ 또는 그 초과의 설정점 온도(set point temperature)로 기판 지지 조립체(138) 및 그 위에 위치된 기판(112)을 제어 가능하게 가열한다. 일 실시예에서 하나 또는 둘 이상의 가열 요소(132)는 전도성 바디(124) 내에 매립된다.
도 3a 및 3b는, 본 발명의 하나 또는 둘 이상의 양상에 따라, 전도성 바디(124) 내에 배치된 하나 또는 둘 이상의 가열 요소(132)의 평면도를 도시한다. 예를 들어, 도 3a에 도시된 바와 같이, 가열 요소(132)는 샤프트(142)를 통해 전도성 바디(124)에 진입할 수 있고, 하나 또는 둘 이상의 내부 루프로 전도성 바디(124)의 중앙 영역 주위에서 루프를 이룰 수 있고 그리고 하나 또는 둘 이상의 외부 루프로 전도성 바디(124)의 외부 둘레 주위에서 루프를 이룰 수 있으며, 그리고 샤프트(142)를 통해 배출될 수 있어서, 그에 따라 하나 또는 둘 이상의 가열 요소(132)가 전도성 바디(124)의 치수에 걸쳐서 매립된다.
추가로, 하나 또는 둘 이상의 열전기쌍(도시되지 않음)은 기판 지지 조립체(138) 내에서 사용될 수 있다. 일 실시예에서, 중앙 영역을 위한 하나와 전도성 바디(124)의 외부 둘레를 위한 하나와 같이, 2개의 열전기쌍이 사용된다. 그러나 다른 히터 라인 또는 채널 구성이 또한 사용될 수 있다. 예를 들어, 하나 또는 둘 이상의 가열 요소(132)는 또한 전도성 바디(124)의 배면 상에 위치될 수 있으며, 또는 클램프 플레이트에 의해 전도성 바디(124) 상에 클램핑될 수 있다. 하나 또는 둘 이상의 가열 요소(132)는 약 100℃ 또는 그 초과의 미리 정해진 온도로 저항성으로 가열되거나 또는 다른 가열 수단에 의해 가열될 수 있다.
기판 지지 조립체(138)는 기판(112)을 정렬하고 유지하도록 구성된 추가적인 메커니즘을 포함할 수 있다. 예를 들어 전도성 바디(124)는 당해 전도성 바디를 관통하는 복수의 기판 지지 핀(222)용의 하나 또는 둘 이상의 기판 지지 핀 홀(224)을 포함할 수 있으며, 기판 지지 핀은 전도성 바디(124) 위로 작은 거리에 기판(112)을 지지하도록 구성된다. 처리 챔버(100) 외부에 배치되는 전달 로봇, 또는 다른 전달 메커니즘에 의해, 기판(112)을 배치하거나 제거하는 것을 용이하게 하기 위해서, 기판 지지 핀(222)은 전달 로봇과의 간섭이 없이 기판(112)의 둘레 근처에 위치될 수 있다. 일 실시예에서, 기판 지지 핀(222)은, 세라믹 재료, 양극산화된 산화 알루미늄 재료 등등과 같은 절연 재료로 제조될 수 있어서, 여전히 열 전도성이면서 기판 처리중에 전기 절연을 제공할 수 있다. 기판 지지 핀(222)은 선택적으로 지지 핀 플레이트(234)에 의해 지지될 수 있어서, 기판 로딩 및 언로딩 중에 기판(112)을 리프팅하도록 기판 지지 핀(222)이 기판 지지 조립체(138) 내에서 이동 가능하다. 대안적으로, 기판 지지 핀(222)은 챔버 바닥에 고정될 수 있으며, 전도성 바디(124)는 기판 지지 핀(222)이 통과하도록 수직으로 이동 가능하다.
다른 실시예에서, 기판(112)이 전도성 바디(124)의 기판 지지 표면(134) 상에 배치되는 경우, 가열 요소(132)의 적어도 하나의 외부 루프가 기판(122)의 외부 둘레에 정렬되도록 구성된다. 예를 들어, 전도성 바디(124)의 치수가 기판(112)의 치수보다 큰 경우, 가열 요소(132)의 적어도 하나의 외부 루프의 위치가 전도성 바디(124) 상의 하나 또는 둘 이상의 핀 홀의 위치와, 예를 들어 기판 지지 핀 홀(224) 또는 정렬 핀 홀(204)의 위치와 간섭하지 않으면서, 기판(112)의 둘레를 둘러싸도록 구성될 수 있다.
도 3b에 도시된 바와 같이, 본 발명의 일 실시예는 기판(112)의 외부 가장자리를 둘러싸도록 가열 요소(132)의 적어도 하나의 외부 루프가 위치되는 것을 규정한다. 바람직하게는, 가열 요소(132)의 적어도 하나의 외부 루프는 하나 또는 둘 이상의 기판 지지 핀 홀(224) 주위에 위치되며, 하나 또는 둘 이상의 기판 지지 핀 홀(224)의 위치, 따라서 기판(112)의 가장자리를 지지하기 위한 기판 지지 핀(222)의 위치와 간섭하지 않으면서, 전도성 바디(124)의 중심으로부터 더 멀리 위치된다. 게다가, 본 발명의 다른 실시예는, 기판(112)의 둘레 및 가장자리에 가열을 제공하도록, 가열 요소(132)의 적어도 하나의 외부 루프가 하나 또는 둘 이상의 기판 지지 핀 홀(224)과 전도성 바디(124)의 외부 가장자리 사이에 위치되는 것을 규정한다.
본 발명의 하나 또는 둘 이상의 양상에 따라서, 기판 지지 조립체(138)는 전도성 바디(124) 내에 매립된 하나 또는 둘 이상의 냉각 채널(136)을 더 포함한다. 하나 또는 둘 이상의 냉각 채널(136)은 온도 제어를 유지하도록, 그리고 처리 챔버(100) 내에서 RF 플라스마가 생성되는 경우의 온도 증가 또는 스파이크와 같이, 기판 처리중에 발생할 수 있는 온도 변화를 보상하도록 구성된다. 냉각 채널(136)의 지름은 제한되지 않으며 약 1mm 내지 약 15mm, 예를 들어 약 9mm와 같은 임의의 적합한 지름일 수 있다. 추가로, 냉각 채널(136)은 열 전도성을 제공하는 금속 또는 금속 합금 재료로 제조될 수 있다. 일 실시예에서, 냉각 채널(136)은 스테인리스 스틸 재료로 제조된다. 그러나 다른 적합한 재료 또는 구성이 또한 사용될 수 있다.
냉각 채널(136)은 내부의 기체 재료, 물, 냉각제, 및 다른 적합한 냉각 가스 또는 액체 재료와 같은 냉각 유체를 그 내부에서 유동시키도록 구성된다. 바람직하게는, 기체 재료가 사용된다. 적합한 기체 재료는 청정 건조 공기(clean dry air), 압축 공기, 필터링된 공기, 질소 가스, 수소 가스, 비활성 가스(예를 들어, 아르곤 가스, 헬륨 가스 등), 및 다른 가스들을 포함할 수 있다. 비록 냉각수 사용이 장점을 가지기는 하지만, 기체 재료가 하나 또는 둘 이상의 냉각 채널(136) 내에서 유동하는 것은 냉각수가 내부에서 유동하는 것보다 유익한데, 이는 기체 재료가 처리 기판 및 챔버 구성 요소 상에 증착된 필름의 품질에 영향을 줄 수 있는 수분 누수의 가능성 없이, 보다 넓은 온도 범위에서 냉각 능력을 제공할 수 있기 때문이다. 예를 들어, 약 10℃ 내지 약 25℃의 기체 재료가 하나 또는 둘 이상의 냉각 채널(136)로 유동하도록 사용될 수 있으며, 실온으로부터 약 200℃ 또는 그 초과의 고온까지 온도 냉각 제어를 제공할 수 있는 반면, 냉각수는 일반적으로 약 20℃ 내지 약 100℃에서 작용한다.
게다가, 기판(112)이 가열 요소(132)에 의해 가열되거나 및/또는 챔버 아이들 시간(chamber idle time) 동안 가열되는 경우, 냉각 채널(136) 내에서 유동하는 냉각 유체는 기판 처리중에 냉각 효율을 제어하기 위해 제어된 유량으로 작용될 수 있다. 예를 들어, 지름이 약 9mm의 예시적인 냉각 채널에 대해, 약 25psi 내지 약 100psi, 예컨대 약 50psi의 압력이 기체 냉각 재료를 유동시키도록 사용될 수 있다. 따라서, 가열 요소(132) 및 냉각 채널(136)을 구비하는 본 발명의 기판 지지 조립체(138)를 사용하면, 기판(112)의 온도가 일정하게 유지될 수 있으며, 기판(112)의 전체 넓은 표면적을 가로질러 균일한 온도 분포가 유지된다.
도 4a 내지 4c는, 기판 지지 조립체(138)의 전도성 바디(124) 내에 배치된 가열 요소(132) 및 냉각 채널(136)의 예시적인 구성을 도시한다. 도 4a에 도시된 바와 같이, 냉각 유체는 중심 샤프트로부터 전도성 바디(124)를 향하여 유동 방향(400A)으로 연장된 하나 또는 둘 이상의 인-플로우 냉각 채널을 통해 전도성 바디(124) 내로 유동될 수 있으며, 이때 하나 또는 둘 이상의 인-플로우 냉각 채널은 나선형 구조 또는 소용돌이 형상으로 전도성 바디(124)의 둘레를 향해 안팎으로 연장된다.
다음, 도 4a에 도시된 바와 같이, 냉각 유체는 인-플로우 냉각 채널로부터 유동 방향(400B)을 따라 중심 샤프트를 향하여 연장된 하나 또는 둘 이상의 아웃-플로우 냉각 채널로 유동되며, 이때 하나 또는 둘 이상의 아웃-플로우 냉각 채널은 전도성 바디(124)로부터 나선형 구조로 연장된다. 따라서 전체적으로 냉각 채널(136)은 일 실시예에서 유동 방향(400A, 400B)에 의해 지시되는 바와 같이 하나의 나선형 인-플로우 및 또 다른 하나의 나선형 아웃-플로우인 이중 나선형 구조로 구성될 수 있다. 덧붙여 도 4a에 도시된 바와 같이, 냉각 채널(136)의 이웃하는 루프 또는 채널은 유동 방향(400A, 400B)에 의해 지시되는 바와 같이, 서로 반대 방향으로 냉각 유체를 유동시키도록 구성된다.
도 4b는 본 발명의 다른 예시적인 냉각 채널 및 가열 요소를 도시한다. 냉각 채널(136)은 샤프트(142)를 통해 전도성 바디(124)에 진입할 수 있으며, 하나 또는 둘 이상의 내부 냉각 루프(436A)를 형성하여 가열 요소(132)의 하나 또는 둘 이상의 냉각 루프 주위에서 루프를 이루도록 구성된다. 냉각 채널(136)은 또한 하나 또는 둘 이상의 외부 냉각 루프(436B)를 형성하도록 구성되고, 전도성 바디(124)의 외부 둘레 근처에서 가열 요소(132)의 하나 또는 둘 이상의 외부 루프 주위에서 또한 루프를 이루도록 구성될 수 있다. 다음, 냉각 채널(136)은 샤프트(142)를 통해 나올 수 있다. 일 실시예에서, 냉각 채널(136)의 내부 냉각 루프(436A) 및 외부 냉각 루프(436B)는 나선형, 소용돌이형 구조로 구성된다. 예를 들어, 내부 냉각 루프(436A) 및 외부 냉각 루프(436B)는 전도성 바디(124)의 중앙 부분으로부터 전도성 바디(124)의 둘레를 향해 밖으로 루프를 이루도록 구성되고, 그리고 기판 지지 조립체(138)의 샤프트(142)의 중앙 부분으로 다시 루프를 이루도록 구성된다. 다른 실시예에서, 냉각 채널(136)의 내부 냉각 루프(436A) 및 외부 냉각 루프(436B)는, 전도성 바디(124) 상의 하나 또는 둘 이상의 기판 지지 핀 홀(224)의 위치와 간섭하지 않으면서, 기판 지지 핀(222)이 통과하도록 구성된 하나 또는 둘 이상의 기판 지지 핀 홀(224)로부터 이격되도록 구성된다.
도 4c는 본 발명의 하나 또는 둘 이상의 양상에 따른 다른 예시적인 냉각 채널 구성을 나타낸다. 하나 또는 둘 이상의 냉각 채널은 도 4c의 화살표에 의해 도시되는 바와 같은 유동 방향으로 루프를 이루는 나선형 상호 루프를 이루는(interlooping) "C"자형 형상으로 구성될 수 있다. 따라서, 냉각 채널(136)이 전도성 바디(124)의 치수에 걸쳐서 분포될 수 있다.
도 5는 본 발명의 하나 또는 둘 이상의 양상에 따른 A-A 단면을 가로지르는 단면도를 도시한다. 도 5에서 도시되는 바와 같이, 본 발명의 일 실시예는 냉각 채널(136)이 가열 요소(132) 위에 위치되어 기판 지지 조립체(138)의 전도성 바디(124) 내에서 충분한 온도 제어를 제공하도록 구성되는 것을 규정한다. 가열 요소(132)는 그 내부의 코일(510) 및 일부 추가적인 절연 재료(520)를 포함할 수 있다. 추가로, 용접, 샌드 블래스팅(sand blasting), 고압 본딩, 접착 본딩, 단조 등등과 같은 임의의 공지된 본딩 기술을 사용하여, 하나 또는 둘 이상의 가열 요소(132) 및 하나 또는 둘 이상의 냉각 채널(136)을 위한 루프, 튜빙(tubing), 또는 채널이 제조될 수 있고 기판 지지 조립체(138)의 전도성 바디(124)에 본딩될 수 있다.
일 실시예에서, 냉각 채널(136)의 루프, 튜빙, 및/또는 채널은 가열 요소(132)의 채널 및/또는 루프 주위에 위치되도록 구성되어서, 냉각 채널(136)이 전도성 바디(124)의 치수에 걸쳐서 분포될 수 있다. 예를 들어, 도 5에 도시된 바와 같이 냉각 채널(136)의 적어도 2개 또는 그 초과의 루프가 가열 요소(132)의 하나의 채널 위에 매립된다. 바람직하게는, 가열 요소(132)의 하나의 채널 위의 냉각 채널(136)의 두 개 또는 그 초과의 루프는 반대되는 유동 방향(400A, 400B)들로 유동하는 기체 재료를 그 내부에 포함한다.
따라서 하나 또는 둘 이상의 가열 요소(132) 및 하나 또는 둘 이상의 냉각 채널(136)이 기판 지지 조립체(138) 내에 배치되어, 기판(112)을 400℃ 또는 그 미만, 예컨대 약 100℃ 내지 약 200℃의 균일한 온도로 유지한다. 가열 요소(132)의 가열 효율은 전원(174)에 의해 조정될 수 있고, 냉각 채널(136)의 냉각 효율은 그 안에서 유동하는 기체 재료의 유량에 의해 조정될 수 있으며, 이는 투-웨이 가열-냉각 온도 제어(two-way heating-cooling temperature control)이다.
그 결과, 기판 지지 조립체(138) 및 그 위에 위치되는 기판(112)은 미리 정해진 설정점 온도로 일정하게 제어 가능하게 유지된다. 본 발명의 기판 지지 조립체를 사용하면, 기판 지지 조립체(138)의 전도성 바디(124)의 경우에 설정점 온도에서 약 +/- 5℃ 또는 그 미만의 온도 균일성이 관측될 수 있다. 처리 챔버에 의해 복수의 기판이 처리된 이후에도 약 +/- 2℃ 또는 그 미만의 처리 설정점 온도 반복성이 관측될 수 있다. 일 실시예에서, 기판(112)의 온도는 일정하게 유지되며, 약 +/- 10℃ 온도, 예컨대 약 +/- 5℃의 온도 변화의 정규화된 온도 변화를 갖는다.
추가로, 베이스 지지 플레이트는 전도성 바디(124) 아래에 위치될 수 있어서, 기판 지지 조립체(138) 및 그 위의 기판(112)에 구조적 지지를 제공하여, 이들이 중력 및 고온으로 인해 편향되는 것을 방지하고 전도성 바디(124)와 기판(112) 사이의 비교적 균일하고 반복가능한 접촉을 보장한다. 따라서, 대면적 유리 기판을 진공 척킹하기 위해서 기판의 후면에 임의의 압력, 가스 또는 유체를 가하는 것이 쉽게 유리 파손을 이끌 수 있기 때문에, 본 발명의 기판 지지 조립체(138) 내의 전도성 바디(124)는 정전기적 척의 사용 없이 대면적 기판의 온도를 제어하는 가열 및 냉각 능력을 갖는 단순한 디자인을 제공한다.
다시 도 2와 관련하여, 리드 조립체(110)는 전형적으로 입구 포트(180)를 포함하며, 공급원(104)에 의해 제공되는 처리 가스가 입구 포트(180)를 통해 처리 챔버(100) 안으로 도입된다. 입구 포트(180)는 또한 클리닝 공급원(182)과 결합되어 해리된 불소와 같은 세제(cleaning agent)를 처리 챔버(100) 내로 제공하여, 가스 분배 플레이트 조립체(118)를 포함하는 처리 챔버 하드웨어로부터 증착 부산물 및 필름을 제거한다.
가스 분배 플레이트 조립체(118)는 전형적으로 기판(112)의 프로파일을 실질적으로 따르도록 구성되며, 예를 들어 대면적 기판의 경우에 직사각형이며 웨이퍼의 경우에 원형이다. 가스 분배 플레이트 조립체(118)는 천공된 영역(116)을 포함하며, 이 천공된 영역(116)을 통해 공급원(104)으로부터 공급되는 전구체 및 수소 가스와 같은 다른 가스들이 처리 용적(140)으로 전달된다. 천공된 영역(116)은 가스 분배 플레이트 조립체(118)를 통해 처리 챔버(100)로 통과하는 가스의 균일한 분배를 제공하도록 구성된다. 가스 분배 플레이트 조립체(118)는 전형적으로 행어 플레이트(160)로부터 현수된 확산기 플레이트(158)를 포함한다. 복수의 가스 통로(162)가 확산기 플레이트(158)를 통해 형성되어, 가스 분배 플레이트 조립체(118)를 통하여 및 처리 용적(140) 내로 통과하는 가스의 미리 정해진 분배를 허용한다.
처리 챔버(100)의 다양한 구성 요소를 제어하고, 그러한 구성 요소들과 인터페이스하기(interface with) 위해 제어기(190)가 포함된다. 제어기(190)는 전형적으로 중앙 처리 유닛(CPU; 194), 지원 회로(196), 및 메모리(192)를 포함한다. CPU(194)는 다양한 챔버, 장치 및 챔버 주변장치(peripherals)을 제어하기 위한 공업용 설정에서 사용될 수 있는 임의의 형태의 컴퓨터 프로세서 중 하나일 수 있다. CPU(194)에 결합된 메모리(192), 임의의 소프트웨어 또는 임의의 컴퓨터로 읽을 수 있는 매체는, 메모리 저장을 위한 국부(local) 또는 원격(remote) 방식을 위한, 하나 또는 둘 이상의 용이하게 입수 가능한 메모리 장치, 예를 들면 RAM(random access memory), ROM(read only memory), 하드 디스크, CD, 플로피 디스크 또는 임의의 다른 디지털 저장 장치 형태일 수 있다. 지원 회로(196)는 CPU(194)에 결합되어 종래의 방법으로 CPU(194)를 지원한다. 이러한 회로는 캐쉬(cache), 전원, 클록 회로, 입력/출력 전기 회로망(circuitry), 서브시스템 등을 포함한다.
일 실시예에서, 본 발명의 처리 챔버(100)의 기판 지지 조립체(138)는 직사각형 기판을 처리하도록 구성된다. 평판 디스플레이용 직사각형 기판의 표면적은 전형적으로 넓으며, 예를 들어 약 300mm × 약 400mm 또는 이보다 넓은 직사각형, 예를 들어 약 370mm × 약 470mm 또는 이보다 넓은 직사각형이다. 챔버 바디(102), 전도성 바디(124) 및 처리 챔버(100)의 관련 구성요소의 치수는 제한되지 않고, 일반적으로 처리 챔버(100) 내에서 처리될 기판(112)의 치수 및 크기보다 비례적으로 넓다. 예를 들어, 약 370mm 내지 약 2160mm의 너비 및 약 470mm 내지 약 2460mm의 길이를 갖는 대면적 정사각형 기판을 처리하는 경우, 전도성 바디는 약 430mm 내지 약 2300mm의 너비 및 약 520mm 내지 약 2600mm의 길이를 포함할 수 있는 반면, 챔버 바디(102)는 약 570mm 내지 약 2360mm의 너비 및 약 570mm 내지 약 2660mm의 길이를 포함할 수 있다.
평판 디스플레이 적용예를 위해, 기판(112)이 예를 들어 유리 또는 투명한 플라스틱과 같은 가시 스펙트럼에서 본질적으로 광학적으로 투명한 재료를 포함할 수 있다. 예를 들어, 박막 트랜지스터 적용예에 대해서, 기판(112)은 고도의 광학적 투명성을 갖는 대면적 유리 기판일 수 있다. 그러나 본 발명은 임의의 타입 및 크기의 기판 처리에 동등하게 적용 가능하다. 본 발명의 기판은, 평판 디스플레이 제조에 있어서 원형, 정사각형, 직사각형, 또는 다각형일 수 있다. 추가로 본 발명은, 평판 디스플레이(FPD), 플렉서블 디스플레이(flexible display), 유기 LED(OLED; organic light emitting diode) 디스플레이, 플렉서블 유기 LED(FOLED; flexible organic light emitting diode) 디스플레이, 폴리머 LED(PLED; polymer light emitting diode) 디스플레이, 액정 디스플레이(LCD), 유기 박막 트렌지스터(organic thin film transistor), 액티브 매트릭스(active matrix), 패시브 매트릭스(passive matrix), 최상부 에미션 디바이스(top emission device), 바닥 에미션 디바이스(bottom emission device), 태양전지(solar cell), 태양전지 판(solar panel) 등과 같은 임의의 디바이스를 제조하기 위한 기판에 적용되며, 실리콘 웨이퍼, 유리 기판, 금속 기판, 플라스틱 필름(예를 들어, 폴리에틸렌 테레프탈레이트(PET; polyethylene terephthalate), 폴리에틸렌 나프탈레이트(PEN; polyethylene naphthalate) 등), 플라스틱 에폭시 필름 등 중의 어느 하나 상에 있을 수 있다. 본 발명은 기판 처리중에 온도 냉각 제어가 요구되는 플렉서블 디스플레이 장치를 제조하는데 사용되는 해당 기술과 같이, 특히 저온의 PECVD 처리에 적합하다.
도 6은 처리 챔버 내에서 기판의 온도를 제어하기 위한 일 예시적인 방법(600)의 흐름도이다. 작동시, 기판은 단계(610)에서 처리 챔버 내부의 기판 지지 조립체의 기판 지지 표면 상에 위치된다. 기판 처리 이전 및/또는 기판 처리중에, 기판 지지 조립체의 전도성 바디의 최상부 상의 기판 지지 표면의 온도는 약 100℃ 내지 약 200℃와 같은 설정점 온도로 유지된다. 단계(620)에서, 냉각 가스 또는 공기는 일정한 유량으로 기판 지지 조립체의 전도성 바디 내에 매립된 하나 또는 둘 이상의 냉각 채널 내로 유동한다.
일 실시예에서, 냉각 가스는 챔버 아이들 시간, 비-처리 시간, 또는 챔버 클리닝/유지보수 시간에도 냉각 채널 내로 유동할 수 있다. 그 결과, 본 발명의 하나 또는 둘 이상의 냉각 채널이 항상 구동된다(active). 다른 실시예에서, 챔버 아이들 시간에 사용되는 설정점 온도는 기판 처리 중에 설정될 처리 온도와 동일한 온도이다.
단계(630)에서, 기판 지지 조립체의 전도성 바디 내에 매립된 하나 또는 둘 이상의 가열 요소의 가열 효율을 조정함으로써 기판 처리중에 기판의 온도가 일정하게 유지된다. 예를 들어, 가열 요소의 가열 효율은 가열 요소에 연결된 전원의 전력을 조정함으로써 조정될 수 있다. 일 실시예에서, 기판의 표면 상의 임의의 온도 스파이크 또는 변화를 방지하기 위해, 플라스마가 유도되는지 또는 플라스마의 에너지로부터 생성된 임의의 추가적인 열이 기판으로 지향되는지 여부와 무관하게, 가열 요소를 위한 전원의 전력을 미세-튜닝함으로써, 기판의 온도가 기판의 전체 표면에 걸쳐서 약 100℃ 내지 약 200℃의 일정한 처리 온도로 유지될 수 있다. 그 결과, 가열 및 냉각 효율 모두를 조정하기 위한 둘 또는 그 초과의 보다 복잡한 제어 루프에 대비하여, 가열 효율을 조정하기 위한 제어기(190) 내의 소프트웨어 디자인을 위해 하나의 제어 루프가 필요할 수 있다. 따라서, 본 발명의 방법(600)은, 기판 지지 조립체를 위한 가열 효율을 제어함으로써 단순하고 신뢰도 있는 온도 제어 메커니즘을 제공한다. 그러나 본 발명의 기판 지지 조립체(138)의 가열 및/또는 냉각 효율이 조정될 수 있다.
작동시, 기판 지지 조립체의 하나 또는 둘 이상의 가열 요소는 약 150℃의 설정점 온도로 설정될 수 있으며, 그리고 약 16℃의 온도를 갖는 청정 건조 공기 또는 압축 공기의 기체 냉각 재료가 일정한 유량으로 냉각 채널로 유동할 수 있어서, 기판 지지 조립체의 기판 지지 표면의 온도를 유지한다. 플라스마 또는 추가적인 열 공급원이 기판 지지 표면의 최상부에 인접하여 처리 챔버 내에 존재하는 경우, 약 50psi의 압력을 사용하는 냉각 재료의 일정한 유동이 기판 지지 표면의 온도를 약 +/-2℃의 표면 온도 균일성으로 약 150℃에서 일정하게 유지하도록 테스팅된다. 약 300℃에서도 추가적인 열 공급원의 존재가 기판 지지 표면의 온도에 영향을 주지 않을 것임이 테스팅되며, 그에 따라 기판 지지 표면은 본 발명의 냉각 채널 내에 약 16℃의 입력 온도를 갖는 냉각 유체를 유동시킴으로써 약 150℃에서 일정하게 유지되도록 테스팅되었다. 냉각 가스는 냉각 이후 그리고 기판 지지 조립체로부터 유출된 이후 약 120℃의 출력 온도에 있도록 테스팅된다. 따라서 본 발명의 냉각 채널 내에서 유동하는 냉각 가스는 매우 효율적인 냉각 효과를 나타내며, 이는 냉각 가스의 출력 온도와 입력 온도 사이의 100℃ 초과의 차이가 반영된 것이다(reflected).
본 발명의 개념을 구체화하는 몇몇 바람직한 실시예가 상세히 도시되고 기재되었지만, 당업자는 이들 개념을 또한 구체화하는 많은 다른 변화된 실시예를 용이하게 안출할 수 있다. 전술한 바는 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예 및 추가의 실시예가 본 발명의 기본 범위를 벗어나지 않고 안출될 수 있으며, 그 범위는 이하의 청구 범위에 의해 결정된다.

Claims (24)

  1. 처리 챔버 내에서 대면적 기판을 지지하도록 구성된 기판 지지 조립체로서,
    하나 또는 둘 이상의 기판 지지 핀 홀을 갖는 단일(unitary)의 열 전도성 바디 - 상기 전도성 바디는 금속 또는 금속 합금을 포함함 - ;
    상기 열 전도성 바디의 표면 상에 위치하여, 그 위에 상기 대면적 기판을 지지하도록 구성된, 기판 지지 표면;
    상기 열 전도성 바디 내에 매립된 하나 또는 둘 이상의 가열 요소; 및
    상기 하나 또는 둘 이상의 가열 요소 근처에서 상기 열 전도성 바디 내에 매립된 냉각 채널;을 포함하고,
    상기 냉각 채널은 상기 하나 또는 둘 이상의 기판 지지 핀 홀로부터 이격된 나선형 구조의 내부 냉각 루프들 및 외부 냉각 루프들을 형성하며, 그리고 상기 내부 냉각 루프들 및 외부 냉각 루프들 중 이웃하는 루프들이 반대 유동 방향으로 냉각 유체를 유동시키도록 구성되는,
    기판 지지 조립체.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 냉각 채널은 인-플로우 루프들(in-flow loop) 및 아웃-플로우 루프들(out-flow loop)을 포함하는,
    기판 지지 조립체.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 제 1 항에 있어서,
    상기 냉각 채널은, 상기 하나 또는 둘 이상의 가열 요소의 위치 주위에서 루프를 이루는,
    기판 지지 조립체.
  9. 제 1 항에 있어서,
    상기 냉각 채널은, 상기 채널 내에서 10℃ 내지 25℃의 온도로 유동하는 기체 재료를 둘러싸는,
    기판 지지 조립체.
  10. 제 9 항에 있어서,
    상기 기체 재료는, 청정 건조 공기(clean dry air), 압축 공기(compressed air) 및 이들의 조합으로 이루어진 그룹으로부터 선택되는,
    기판 지지 조립체.
  11. 제 9 항에 있어서,
    상기 기체 재료는 일정한 유량으로 상기 냉각 채널 내에서 유동하는,
    기판 지지 조립체.
  12. 제 1 항에 있어서,
    상기 냉각 채널은 스테인리스 스틸 재료를 포함하는,
    기판 지지 조립체.
  13. 제 1 항에 있어서,
    상기 기판 지지 표면의 온도는 100℃ 내지 200℃에서 유지되는,
    기판 지지 조립체.
  14. 제 1 항에 있어서,
    상기 기판 지지 표면의 치수는 상기 대면적 기판의 치수보다 큰,
    기판 지지 조립체.
  15. 제 1 항에 있어서,
    상기 열 전도성 바디는 알루미늄 재료를 포함하는,
    기판 지지 조립체.
  16. 삭제
  17. 제 1 항에 있어서,
    상기 기판 지지 표면은, 형상이 직사각형으로 구성되고 370mm × 470mm 또는 그 초과의 치수를 갖는 대면적 기판을 지지하도록 구성되는,
    기판 지지 조립체.
  18. 처리 챔버 내에서 대면적 유리 기판을 지지하도록 구성된 기판 지지 조립체로서,
    금속 또는 금속 합금을 포함하는 단일의 열 전도성 바디;
    상기 열 전도성 바디의 표면 상에 위치하여, 그 위에 상기 대면적 유리 기판을 지지하도록 구성된, 기판 지지 표면;
    상기 열 전도성 바디 내에 매립된 하나 또는 둘 이상의 가열 요소; 및
    상기 하나 또는 둘 이상의 가열 요소 주위에서 상기 열 전도성 바디 내에 매립되고, 상기 열 전도성 바디의 중심 부분으로부터 상기 열 전도성 바디의 둘레를 향해 루프를 이루고, 그리고 상기 열 전도성 바디의 중심 부분으로 다시 루프를 이루는 냉각 채널을 포함하고,
    상기 냉각 채널의 이웃하는 채널이 반대 유동 방향으로 냉각 유체를 유동시키도록 구성되는
    기판 지지 조립체.
  19. 삭제
  20. 제 18 항에 있어서,
    상기 냉각 채널은 상기 냉각 채널 내에서 10℃ 내지 25℃의 온도로 유동하는 기체 재료를 둘러싸며, 상기 기체 재료는 청정 건조 공기(clean dry air), 압축 공기(compressed air) 및 이들의 조합으로 이루어진 그룹으로부터 선택되며 일정한 유량으로 상기 냉각 채널 내에서 유동하는,
    기판 지지 조립체.
  21. 제 18 항에 있어서,
    상기 기판 지지 조립체는, 평판 디스플레이(FPD), 플렉서블 디스플레이(flexible display), 유기 LED(OLED; organic light emitting diode) 디스플레이, 플렉서블 유기 LED(FOLED; flexible organic light emitting diode) 디스플레이, 폴리머 LED(PLED; polymer light emitting diode) 디스플레이, 액정 디스플레이(LCD), 유기 박막 트랜지스터(organic thin film transistor), 액티브 매트릭스(active matrix), 패시브 매트릭스(passive matrix), 최상부 에미션 디바이스(top emission device), 바닥 에미션 디바이스(bottom emission device), 태양전지(solar cell), 태양전지 판(solar panel) 및 이들의 조합으로 이루어진 그룹으로부터 선택되는 디바이스를 제조하기 위한 하나 또는 둘 이상의 대면적 직사각형 기판을 지지하도록 구성되는,
    기판 지지 조립체.
  22. 처리 챔버 내에서 대면적 기판의 온도를 유지하기 위한 방법으로서,
    상기 처리 챔버의 기판 지지 조립체의 기판 지지 표면 상에 상기 대면적 기판을 위치시키는 단계로서, 상기 기판 지지 조립체가,
    금속 또는 금속 합금을 포함하는 단일의 열 전도성 바디;
    상기 열 전도성 바디의 표면 상에 위치하여, 그 위에 상기 대면적 기판을 지지하도록 구성된, 기판 지지 표면;
    상기 열 전도성 바디 내에 매립된 하나 또는 둘 이상의 가열 요소; 및
    상기 하나 또는 둘 이상의 가열 요소 주위에 위치되고 상기 열 전도성 바디 내에 매립된 냉각 채널로서, 나선형 구조의 내부 냉각 루프들 및 외부 냉각 루프들을 형성하고 상기 내부 냉각 루프들 및 외부 냉각 루프들 중 이웃하는 루프들이 반대 유동 방향으로 냉각 유체를 유동시키도록 구성된 냉각 채널;을 포함하는,
    상기 처리 챔버의 기판 지지 조립체의 기판 지지 표면 상에 상기 대면적 기판을 위치시키는 단계;
    상기 냉각 채널 내에서 기체 냉각 재료를 유동시키는 단계; 및
    상기 하나 또는 둘 이상의 가열 요소의 가열 전력을 설정점 온도로 조정함으로써 설정점 온도에서 +/-5℃ 또는 그 미만의 온도 균일성으로 상기 대면적 기판의 온도를 유지하는 단계를 포함하는,
    처리 챔버 내에서 대면적 기판의 온도를 유지하기 위한 방법.
  23. 제 22 항에 있어서,
    상기 하나 또는 둘 이상의 가열 요소의 가열 전력을 100℃ 내지 200℃인 설정점 온도로 조정함으로써 상기 설정점 온도에서 +/-5℃ 또는 그 미만의 온도 균일성으로 상기 대면적 기판의 온도가 일정하게 유지되는,
    처리 챔버 내에서 대면적 기판의 온도를 유지하기 위한 방법.
  24. 제 22 항에 있어서,
    상기 냉각 채널은 그 내부에서 10℃ 내지 25℃의 온도로 유동하는 기체 재료를 포함하는,
    처리 챔버 내에서 대면적 기판의 온도를 유지하기 위한 방법.
KR1020060077289A 2005-08-16 2006-08-16 액티브 냉각 기판 지지체 KR101312676B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/206,245 US8709162B2 (en) 2005-08-16 2005-08-16 Active cooling substrate support
US11/206,245 2005-08-16

Publications (2)

Publication Number Publication Date
KR20070021077A KR20070021077A (ko) 2007-02-22
KR101312676B1 true KR101312676B1 (ko) 2013-09-27

Family

ID=37766516

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060077289A KR101312676B1 (ko) 2005-08-16 2006-08-16 액티브 냉각 기판 지지체

Country Status (5)

Country Link
US (1) US8709162B2 (ko)
JP (1) JP5484650B2 (ko)
KR (1) KR101312676B1 (ko)
CN (1) CN1919768B (ko)
TW (1) TWI338319B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109423624A (zh) * 2017-08-31 2019-03-05 Asm知识产权私人控股有限公司 冷却装置、气体供应装置及衬底处理设备

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US8203071B2 (en) 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US7582515B2 (en) * 2007-01-18 2009-09-01 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080245414A1 (en) * 2007-04-09 2008-10-09 Shuran Sheng Methods for forming a photovoltaic device with low contact resistance
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7875486B2 (en) * 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US20090104733A1 (en) * 2007-10-22 2009-04-23 Yong Kee Chae Microcrystalline silicon deposition for thin film solar applications
EP2215652A4 (en) * 2007-11-02 2011-10-05 Applied Materials Inc PLASMA TREATMENT BETWEEN DECISION PROCESSES
WO2009059240A1 (en) * 2007-11-02 2009-05-07 Applied Materials, Inc. Intrinsic amorphous silicon layer
US7833885B2 (en) 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8076222B2 (en) * 2008-02-11 2011-12-13 Applied Materials, Inc. Microcrystalline silicon thin film transistor
KR101444711B1 (ko) * 2008-05-23 2014-09-26 주성엔지니어링(주) 기판 제조 장치용 온도 조절 시스템
US8596336B2 (en) * 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US8895842B2 (en) * 2008-08-29 2014-11-25 Applied Materials, Inc. High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100184290A1 (en) * 2009-01-16 2010-07-22 Applied Materials, Inc. Substrate support with gas introduction openings
WO2010090948A1 (en) * 2009-02-04 2010-08-12 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
WO2011011301A2 (en) * 2009-07-23 2011-01-27 Applied Materials, Inc. A mixed silicon phase film for high efficiency thin film silicon solar cells
WO2011046664A2 (en) * 2009-10-15 2011-04-21 Applied Materials, Inc. A barrier layer disposed between a substrate and a transparent conductive oxide layer for thin film silicon solar cells
US20110126875A1 (en) * 2009-12-01 2011-06-02 Hien-Minh Huu Le Conductive contact layer formed on a transparent conductive layer by a reactive sputter deposition
US9267742B2 (en) 2010-01-27 2016-02-23 Applied Materials, Inc. Apparatus for controlling the temperature uniformity of a substrate
US20110180233A1 (en) * 2010-01-27 2011-07-28 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
JP2011181599A (ja) * 2010-02-26 2011-09-15 Mitsubishi Heavy Ind Ltd プラズマ成膜装置及び方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
JP6078063B2 (ja) 2011-07-13 2017-02-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄膜トランジスタデバイスの製造方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013052298A1 (en) 2011-10-07 2013-04-11 Applied Materials, Inc. Methods for depositing a silicon containing layer with argon gas dilution
US9234857B2 (en) 2011-11-14 2016-01-12 First Solar, Inc. Method and apparatus providing temperature uniformity
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9089007B2 (en) * 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI473903B (zh) 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9406653B2 (en) * 2013-02-27 2016-08-02 Applied Materials, Inc. Integrated solution for solid state light sources in a process chamber
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP5980147B2 (ja) * 2013-03-08 2016-08-31 日本発條株式会社 基板支持装置
USD717113S1 (en) 2013-03-13 2014-11-11 Applied Materials, Inc. Susceptor with heater
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10586718B2 (en) * 2015-11-11 2020-03-10 Applied Materials, Inc. Cooling base with spiral channels for ESC
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6077147B2 (ja) * 2016-01-21 2017-02-08 Sppテクノロジーズ株式会社 プラズマ基板処理装置、その制御プログラム、これを記録したコンピュータ読み取り可能な記録媒体
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10280510B2 (en) * 2016-03-28 2019-05-07 Applied Materials, Inc. Substrate support assembly with non-uniform gas flow clearance
CN105734494B (zh) * 2016-04-12 2018-12-25 京东方科技集团股份有限公司 一种蒸镀载板及蒸镀装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR20180129976A (ko) * 2016-07-13 2018-12-05 어플라이드 머티어리얼스, 인코포레이티드 개선된 기판 지지부
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
WO2018051304A1 (en) 2016-09-19 2018-03-22 King Abdullah University Of Science And Technology Susceptor
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
CN109192754B (zh) * 2018-08-08 2021-03-02 Tcl华星光电技术有限公司 刚性承载基板以及柔性oled显示面板的制备方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6918042B2 (ja) * 2019-03-26 2021-08-11 日本碍子株式会社 ウエハ載置装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240036099A (ko) * 2021-07-26 2024-03-19 램 리써치 코포레이션 메조 채널 어셈블리들을 갖는 기판 지지부들
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114975178B (zh) * 2022-05-18 2024-04-05 江苏微导纳米科技股份有限公司 温度控制组件、半导体处理腔室及半导体处理设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187245A (ja) * 1997-07-14 1999-03-30 Anelva Corp スパッタリング装置
JP2002110774A (ja) * 2000-06-09 2002-04-12 Applied Materials Inc 全域温度制御静電チャック及びその製造方法
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2004273619A (ja) * 2003-03-06 2004-09-30 Hitachi High-Technologies Corp 真空処理装置用の試料載置装置

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2571949A (en) * 1948-11-10 1951-10-16 Joseph B Sandler Hot-water heater
DE2023598C3 (de) * 1970-05-14 1976-01-02 Bosch-Siemens Hausgeraete Gmbh, 7000 Stuttgart Elektrischer Durchlauferhitzer für Kaffeemaschinen
US3669812A (en) * 1970-10-16 1972-06-13 Bendix Corp Substrate support module
DE2124303A1 (ko) * 1971-05-17 1972-10-26
US4834855A (en) * 1985-05-02 1989-05-30 Hewlett-Packard Company Method for sputter depositing thin films
JPH06101524B2 (ja) * 1985-09-18 1994-12-12 株式会社東芝 半導体素子用冷却体
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
TW293983B (ko) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JP3917237B2 (ja) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
US6376815B1 (en) * 1998-01-12 2002-04-23 Furukawa Electric Co., Ltd. Highly gas tight substrate holder and method of manufacturing the same
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
JP2000124139A (ja) 1998-10-15 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
US6087632A (en) * 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP2001102436A (ja) * 1999-05-07 2001-04-13 Applied Materials Inc 静電チャック及びその製造方法
NL1013944C2 (nl) * 1999-12-23 2001-06-26 Plasma Optical Fibre Bv Werkwijze voor het door middel van een chemische dampdepositie (CVD) techniek vervaardigen van een voorvorm met een nauwkeurig gedefinieerd brekingsindexprofiel.
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
JP3589939B2 (ja) * 2000-04-27 2004-11-17 東京エレクトロン株式会社 加熱処理方法
JP2001319886A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd 熱処理装置及びその方法
US20010035403A1 (en) * 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
US6700099B2 (en) * 2000-07-10 2004-03-02 Temptronic Corporation Wafer chuck having thermal plate with interleaved heating and cooling elements, interchangeable top surface assemblies and hard coated layer surfaces
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
WO2002084717A1 (fr) * 2001-04-11 2002-10-24 Ibiden Co., Ltd. Dispositif ceramique chauffant pour installation de fabrication/inspection de semi-conducteurs
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
JP4681763B2 (ja) * 2001-06-27 2011-05-11 住友化学株式会社 基板固定用チャックおよびこのチャックからの基板剥離方法
US20030047283A1 (en) * 2001-09-10 2003-03-13 Applied Materials, Inc. Apparatus for supporting a substrate and method of fabricating same
JP2003163244A (ja) * 2001-11-28 2003-06-06 Taiheiyo Cement Corp ウェハプローバ
US6908512B2 (en) * 2002-09-20 2005-06-21 Blue29, Llc Temperature-controlled substrate holder for processing in fluids
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US20120196242A1 (en) * 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
JP5975754B2 (ja) * 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187245A (ja) * 1997-07-14 1999-03-30 Anelva Corp スパッタリング装置
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002110774A (ja) * 2000-06-09 2002-04-12 Applied Materials Inc 全域温度制御静電チャック及びその製造方法
JP2004273619A (ja) * 2003-03-06 2004-09-30 Hitachi High-Technologies Corp 真空処理装置用の試料載置装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109423624A (zh) * 2017-08-31 2019-03-05 Asm知识产权私人控股有限公司 冷却装置、气体供应装置及衬底处理设备
CN109423624B (zh) * 2017-08-31 2021-01-05 Asm知识产权私人控股有限公司 冷却装置、气体供应装置及衬底处理设备

Also Published As

Publication number Publication date
TW200709256A (en) 2007-03-01
JP5484650B2 (ja) 2014-05-07
US20070039942A1 (en) 2007-02-22
JP2007053382A (ja) 2007-03-01
US8709162B2 (en) 2014-04-29
CN1919768B (zh) 2012-05-30
KR20070021077A (ko) 2007-02-22
CN1919768A (zh) 2007-02-28
TWI338319B (en) 2011-03-01

Similar Documents

Publication Publication Date Title
KR101312676B1 (ko) 액티브 냉각 기판 지지체
US7429718B2 (en) Heating and cooling of substrate support
KR200465330Y1 (ko) 기판 지지체의 가열 및 냉각
US9396909B2 (en) Gas dispersion apparatus
JP5878813B2 (ja) バッチ式処理装置
KR101456894B1 (ko) 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들
KR100613171B1 (ko) 반도체 기판 냉각 방법 및 장치
CN100431097C (zh) 上部电极、等离子体处理装置和等离子体处理方法
JP4067858B2 (ja) Ald成膜装置およびald成膜方法
TW201104748A (en) Substrate processing apparatus
JP5517392B2 (ja) 基板支持アセンブリ、プロセスチャンバ及びプロセスチャンバ内の基板の温度を維持するための方法
CN103890917B (zh) 半导体处理中的边缘环的热管理
WO2007145132A1 (ja) 載置台構造及び熱処理装置
KR20070073898A (ko) 평행 웨이퍼 처리 반응기를 위한 기판 캐리어
KR20040096785A (ko) 양극처리된 기판 지지부
TWI678775B (zh) 基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體
JPH10223621A (ja) 真空処理装置
US20070254112A1 (en) Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
TW202121567A (zh) 基板處理裝置及基板處理方法
JP2012023073A (ja) 基板処理装置および基板の製造方法
KR20040054514A (ko) 균일한 기판 가열을 위한 챔버
KR101111042B1 (ko) 기판 지지부의 가열 및 냉각 방법
JP2011187543A (ja) 基板処理装置および半導体装置の製造方法
KR100830237B1 (ko) 대면적 기판 처리 시스템의 서셉터 구조물
KR101167989B1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 5