TWI678775B - 基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體 - Google Patents

基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體 Download PDF

Info

Publication number
TWI678775B
TWI678775B TW105111658A TW105111658A TWI678775B TW I678775 B TWI678775 B TW I678775B TW 105111658 A TW105111658 A TW 105111658A TW 105111658 A TW105111658 A TW 105111658A TW I678775 B TWI678775 B TW I678775B
Authority
TW
Taiwan
Prior art keywords
substrate
gas
processing
mounting table
chamber
Prior art date
Application number
TW105111658A
Other languages
English (en)
Other versions
TW201724393A (zh
Inventor
柳沢愛彥
Yoshihiko Yanagisawa
上野正昭
Masaaki Ueno
大橋直史
Naofumi Ohashi
Original Assignee
日商國際電氣股份有限公司
Kokusai Electric Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司, Kokusai Electric Corporation filed Critical 日商國際電氣股份有限公司
Publication of TW201724393A publication Critical patent/TW201724393A/zh
Application granted granted Critical
Publication of TWI678775B publication Critical patent/TWI678775B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

提供可以抑制熱導致移載室的伸展之技術。
具有處理基板之處理室、設於移載室之軸、被連接於軸而具有加熱部之基板載置台、設於移載室之壁的處理室側之第1絕熱部、以及設於軸的基板載置台側的第2絕熱部。

Description

基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體
本發明係關於基板處理裝置、半導體裝置之製造方法及程式。
作為半導體裝置(裝置)之製造步驟之一步驟,係對基板供給處理氣體與反應氣體,進行在基板上形成膜的處理步驟。
然而,會有往基板之氣體供給變得不均勻,使處理均勻性降低的情形。
本發明之目的在於提供可以提高基板的處理均勻性的技術。
根據一實施態樣,提供具有處理基板之處理 室、設於移載室之軸、被連接於軸而具有加熱部之基板載置台、設於移載室之壁的處理室側之第1絕熱部、以及設於軸的基板載置台側的第2絕熱部之技術。
根據相關於本發明之技術,可以提高處理均勻性。
10‧‧‧第1絕熱部
20‧‧‧第2絕熱部
30‧‧‧反射部
100‧‧‧真空室
110‧‧‧製程模組
200‧‧‧晶圓(基板)
201‧‧‧處理室(處理空間)
202‧‧‧處理容器
212‧‧‧基板載置台
232‧‧‧緩衝空間
234‧‧‧噴灑頭
1000‧‧‧基板處理系統
圖1係相關於一實施型態之基板處理系統之橫剖面之概略圖。
圖2係相關於一實施型態之基板處理系統之縱剖面之概略圖。
圖3係相關於一實施型態之基板處理系統之真空班送機械臂之概略圖。
圖4係相關於一實施型態之基板處理裝置之概略構成圖。
圖5係相關於一實施型態之真空室之縱剖面之概略圖。
圖6係供說明相關於一實施型態之氣體供給系統之圖。
圖7係相關於一實施型態之基板處理系統之控制器之概略構成圖。
圖8係相關於一實施型態之基板處理步驟之流程圖。
圖9係相關於一實施型態之基板處理步驟之循序圖。
圖10係相關於其他實施型態之真空室之縱剖面之概略圖。
圖11係顯示應力緩和材之變形例。
<第1實施型態>
以下,配合圖式說明本發明之第1實施型態。在高溫製程,因為來自承受器或反應室側的熱會傳導到反應室的下側(搬送空間:移載室)導致升溫,所以通常使冷卻水流通使其成為所要的溫度以下。但是在裝置的構造上有難以冷卻的部分,會因為移載室被加熱、使移載室伸展,基板載置台的位置(XYZ方向)發生偏移,導致氣體供給部與基板的位置偏移,導致有對基板的處理均勻性降低的課題。本發明之目的在於提供可以抑制前述之熱所導致的移載室的伸展之技術。
以下,說明相關於本實施型態之基板處理系統。
(1)基板處理系統之構成
使用圖1至圖5說明相關於本發明之一實施型態之基板處理系統的概要構成。圖1係顯示相關於本實施型態之基板處理系統的構成例之橫剖面圖。圖2係顯示相關於本 實施型態之基板處理系統的構成例之圖1的α-α’縱剖面圖。圖3係說明圖1之臂部的詳細之說明圖。圖4係說明圖1之β-β’之縱剖面圖,係對製程模組進行供給的氣體供給系統之說明圖。圖5係說明設於製程模組的真空室之說明圖。
於圖1及圖2,適用本發明之基板處理系統1000,係處理晶圓200者,主要以IO台1100、大氣搬送室1200、加載互鎖真空室1300、真空搬送室1400、製程模組110構成。其次,具體說明各構成。於圖1之說明,前後左右的關係為:X1方向為右、X2方向為左、Y1方向為前、Y2方向為後。
(大氣搬送室/IO台)
於基板處理系統1000之前方,設置有IO台(裝載埠)1100。IO台1100上搭載有複數之莢艙(pod)1001。莢艙1001作為搬送矽(Si)基板等基板200的載具使用,莢艙1001內,以未處理的基板(晶圓)200或已處理的基板200分別以水平姿勢收容複數個的方式構成。
於莢艙1001設有蓋1120,藉由後述之莢艙開啟器1210來開閉。莢艙開啟器1210,開閉被載置於IO台1100的莢艙1001的蓋1120,藉由打開/閉鎖基板出入口,使基板200可以對莢艙1001搬進搬出。莢艙1001藉由未圖示的工程內搬送裝置(RGV)對著IO台1100供給 或者是排出。
IO台1100鄰接於大氣搬送室1200。大氣搬送室1200在與IO台1100不同的面上,連接著後述之加載互鎖真空室1300。
於大氣搬送室1200內設置著移載基板200的作為第1搬送機械臂之大氣搬送機械臂1220。如圖2所示,大氣搬送機械臂1220係以藉由設置於大氣搬送室1200的升降機1230升降的方式構成,同時藉由直線致動器1240往復移動於左右方向的方式構成。
如圖2所示,於大氣搬送室1200的上部設置有供給潔淨空氣的清潔單元1250。此外,如圖1所示,於大氣搬送室1200左側被設置配合被形成於基板200的缺口或定向平面的裝置(以下稱為預對準器)1260。
如圖1及圖2所示,於大氣搬送室1200的筐體1270的前側,設置有供把基板200對大氣搬送室1200搬入搬出之用的基板搬入搬出口1280,以及莢艙開啟器1210。中間夾著基板搬入搬出口1280在與莢艙開啟器1210相反之側,亦即在筐體1270的外側設置有IO台(裝載埠)1100。
於大氣搬送室1200的筐體1270之後面側,設有供把晶圓200搬入搬出加載互鎖真空室1300之用的基板搬入搬出口1290。基板搬入搬出口1290,藉由後述之閘閥1330進行開放/閉鎖,而可以搬入搬出晶圓200。
(裝填閉鎖(L/L)室)
加載互鎖真空室1300鄰接於大氣搬送室1200。構成加載互鎖真空室1300的筐體1310所具有的面之中,與大氣搬送室1200不同的面上,如後所述被配置真空搬送室1400。加載互鎖真空室1300,配合大氣搬送室1200的壓力與真空搬送室1400的壓力使筐體1310內的壓力改變,所以被構成為可以耐得住負壓的構造。
筐體1310之中,在與真空搬送室1400鄰接之側,設有基板搬入搬出口1340。基板搬入搬出口1340,藉由閘閥1350進行開放/閉鎖,可以搬入搬出晶圓200。
進而,於加載互鎖真空室1300內,設置至少具有二個載置晶圓200的載置面1311(1311a,1311b)的基板載置台1320。基板載置面1311間的距離,因應於後述的真空搬送機械臂1700所具有的手指間的距離而設定。
(真空搬送室)
基板處理系統1000,具備作為成為在負壓下搬送基板200的搬送空間的搬送室之真空搬送室(傳送模組)1400。構成真空搬送室1400的筐體1410平面俯視被形成為五角形,於五角形的各邊,被連結著加載互鎖真空室1300以及處理晶圓200的製程模組110a~110d。於真空搬送室1400的約略中央部,設置著在負壓下移載(搬 送)基板200之作為第2搬送機械臂的真空搬送機械臂1700以凸緣1430作為基部。又,在此,例示著真空搬送室1400為五角形之例,但亦可為四角形或六角形等多角形。
筐體1410的側壁之中,在與加載互鎖真空室1300鄰接之側,設有基板搬入搬出口1420。基板搬入搬出口1420,藉由閘閥1350進行開放/閉鎖,可以搬入搬出晶圓200。
被設置於真空搬送室1400內的真空搬送機械臂1700,如圖2所示,係以可藉由升降機1450以及凸緣1430維持真空搬送室1400的氣密性同時可升降的方式構成的。真空搬送機械臂1700的詳細構成稍後敘述。升降機1450,係以使真空搬送機械臂1700具有的兩個機械臂1800與1900可以分別獨立升降的方式構成的。
筐體1410的天花板設有供對筐體1410內供給惰性氣體之用的惰性氣體供給孔1460。於惰性氣體供給孔1460設有惰性氣體供給管1510。於惰性氣體供給管1510由上游起依序設有惰性氣體源1520、質量流量控制器1530、閥1540,控制供給至筐體1410內的惰性氣體的供給量。
主要以惰性氣體供給管1510、質量流量控制器1530、閥1540來構成真空搬送室1400之惰性氣體供給部1500。惰性氣體源1520、惰性氣體供給孔1460亦可包含於惰性氣體供給部1500。
於筐體1410的底壁,設有供排氣筐體1410的氛圍之用的排氣孔1470。於排氣孔1470,設有排氣管1610。於排氣管1610,由上游起依序設有壓力控制器之自動壓力控制器(APC,AutoPressure Controller)1620、泵1630。
主要以排氣管1610、APC1620構成真空搬送室1400之氣體排氣部1600。又,泵1630、排氣孔1470亦可包含於氣體排氣部。
藉由惰性氣體供給部1500、氣體排氣部1600的協同動作控制真空搬送室1400的氛圍。例如,控制筐體1410內的壓力。
如圖1所示,筐體1410的五枚側壁之中,在未被設置加載互鎖真空室1300之側,連結著對晶圓200進行所要的處理之製程模組110a、110b、110c、110d。
於製程模組110a、110b、110c、110d之各個,設有基板處理裝置之一構成之真空室100。具體而言,製程模組110a被設置真空室100a、100b。於製程模組110b被設置真空室100c、100d。於製程模組110c被設置真空室100e、100f。於製程模組110d被設置真空室100g、100h。
筐體1410的側壁之中,在與各真空室100對向之壁設有基板搬入搬出口1480。例如,如圖2所記載,在與真空室100e對向之壁上設有基板搬入搬出口1480e。
圖2之中,把真空室100e置換為真空室100a的場合,在與真空室100a對向之壁設有基板搬入搬出口1480a。
同樣地,把真空室100f置換為真空室100b的場合,在與真空室100b對向之壁設有基板搬入搬出口1480b。
閘閥1490,如圖1所示,設於各個處理室。具體而言,在真空室100a與真空搬送室1400之間設有閘閥1490a,在與真空室100b之間設有閘閥1490b。在與真空室100c之間設有閘閥1490c,在與真空室100d之間設有閘閥1490d。在與真空室100e之間設有閘閥1490e,在與真空室100f之間設有閘閥1490f。在與真空室100g之間設有閘閥1490g,在與真空室100h之間設有閘閥1490h。
藉由各閘閥1490進行開放/閉鎖,可以透過基板搬入搬出口1480進行晶圓200之搬入搬出。
接著,使用圖3說明被搭載於真空搬送室1400的真空搬送機械臂1700。圖3係擴大圖1的真空搬送機械臂1700之圖。
真空搬送機械臂1700,具備機械臂1800與機械臂1900。機械臂1800,具有在先端設有末端作用器(end effector)1810與末端作用器1820之叉形部分1830。叉形部分1830的根部有中間部分(middle portion)1840中介著軸1850連接著。
於末端作用器1810與末端作用器1820,被載置著由分別的製程模組110搬出的晶圓200。於圖2,顯示被載置著由製程模組110c搬出的晶圓200之例。
中間部分1840之中,在與叉形部分1830不同之處,中介著軸1870連接著底部分1860。底部分1860中介著軸1880被配置於凸緣1430。
機械臂1900,具有在先端設有末端作用器(end effector)1910與末端作用器1920之叉形部分1930。叉形部分1930的根部有中間部分(middle portion)1940中介著軸1950連接著。
於末端作用器1910與末端作用器1920,被載置著由加載互鎖真空室1300搬出的晶圓200。
中間部分1940之中,在與叉形部分1930不同之處,中介著軸1970連接著底部分1960。底部分1960中介著軸1980被配置於凸緣1430。
末端作用器1810、末端作用器1820,被配置於比末端作用器1910、末端作用器1920更高的位置。
真空搬送機械臂1700能夠進行以軸為中心之旋轉、或是機械臂的延伸。
(製程模組)
接著以圖1、圖2、圖4為例,說明各製程模組110之中的製程模組110a。圖4係說明製程模組110a與被連接於製程模組110a的氣體供給部、被連接於製程模組 110a的氣體排氣部之相關的說明圖。
此處以製程模組110a為例,但其他的製程模組110b、製程模組110c、製程模組110d也是同樣的構造,在此省略說明。
如圖4所記載的,於製程模組110a,設有處理晶圓200的基板處理裝置之一構成之真空室100a與真空室100b。於真空室100a與真空室100b之間設有隔壁2040a,以不使分別的真空室內的氛圍混合的方式構成。
如圖2所記載的,在真空室100e與真空搬送室1400相鄰之壁,設有基板搬入搬出口2060e,同樣地,在真空室100a與真空搬送室1400相鄰之壁,設有基板搬入搬出口2060a。
於各真空室100設有支撐晶圓200的基板支撐部210。
於製程模組110a,被連接著分別對真空室100a與真空室100b供給處理氣體之氣體供給部。氣體供給部,以第1氣體供給部(處理氣體供給部)、第2氣體供給部(反應氣體供給部)、第3氣體供給部(第1沖洗氣體供給部)、第4氣體供給部(第2沖洗氣體供給部)等來構成。以下說明各氣體供給部的構成。
(1)基板處理裝置之構成
說明相關於第1實施型態的基板處理裝置。
說明相關於本實施型態的基板處理裝置100。 基板處理裝置100,是高介電係數絕緣膜形成單元,如圖1所示的,被構成為叢集式(cluster type)基板處理裝置。在基板處理裝置,進行如前所述的半導體裝置的製造之一步驟。
如圖5所示,基板處理裝置100具備處理容器202。處理容器202,例如橫剖面為圓形被構成為扁平的密閉容器。此外,處理容器202,例如由鋁(Al)或不銹鋼(SUS)等金屬材料,或者石英來構成。於處理容器202內,被形成處理作為基板的矽晶圓等之晶圓200的處理空間(處理室)201、搬送空間(移載室)203。處理容器202,以上部容器202a與下部容器202b構成。上部容器202a與下部容器202b之間設有區隔板204。上部處理容器202a所包圍的空間,且比區隔板204更為上方的空間被稱為處理空間(也稱為處理室)201,下部容器202b所包圍的空間,且比區隔板204更為下方的空間稱為搬送空間203。
於下部容器202b的側面,被設有鄰接於柵型閥1490的基板搬入搬出口1480,晶圓200透過基板搬入搬出口1480移動在與未圖示之搬送室之間。下部容器202b的底部,設有複數升降銷207。進而,下部容器202b被接地。
此處,上部容器202a的構成材料之石英的膨脹係數為6×10-7/℃,低溫時與高溫時的溫度差△T=300℃時,約有0.05mm~0.4mm程度之延伸。下部容器202b的 構成材料為鋁的場合,鋁的膨脹係數為23×10^-6/℃,低溫時與高溫時的溫度差△T=300℃左右時,約有2.0mm~14mm程度之延伸。又,延伸的長度△L,以△L=L×α×△T算出。此處,L為材料的長度[mm]、α為熱膨脹係數[/℃]、△T[℃]為溫度差。
如此,延伸長度(變化量)隨材料而異。因而有隨著變化量的差異,而使基板載置台212與噴灑頭234之中心位置關係(XY方向之位置關係)偏移,導致處理均勻性降低的課題。此外,隨著Z方向的延伸長度(變化量)的差異,會有載置面211與分散板234b之距離改變,處理室201內的排氣傳導率,或者由處理室201至排氣口221為止的排氣傳導率改變而導致處理均勻性降低的課題。此外,會有搬送室1400的中心位置與製程模組110a的中心位置之間的距離延伸,導致無法把晶圓200搬送到載置面211的中心的課題。此外,會有真空室100a的中心位置與真空室100b的中心位置之間的距離延伸,導致無法把晶圓200搬送到載置面211的中心的課題。
因此,在本實施型態,在下部容器202b的側面之比閘閥1490更為上側的位置,設置第1絕熱部10。第1絕熱部10,Z方向(高度方向)設在比後述的第2絕熱部更為下側。藉由設置第1絕熱部10,抑制下側容器202b之往XY方向/Z方向的延伸,可以決這些課題。又,在此只記載製程模組110a,對於其他製程模組 110b,110c,110d也是同樣的。
第1絕熱部10,例如以耐熱樹脂、介電樹脂、石英、石墨等之任一,或者是複合的熱傳導率低的材料來構成,構成為環形狀。
於處理室201內設有支撐晶圓200的基板支撐部210。基板支撐部210,具有載置晶圓200的載置面211,與表面具有載置面211與外周面215的基板載置台212。較佳為設置作為加熱部之加熱器213。藉由設置加熱部,可以使基板加熱,提高被形成於基板上的膜的品質。於基板載置台212,升降銷207貫通的貫通孔214,分別被設置在與升降銷207對應的位置亦可。又,使被形成於基板載置台212表面的載置面211的高度,形成為比外周面215更低了相當於晶圓200的厚度之長度量亦可。藉由構成為這樣,使得晶圓200的上面的高度與基板載置台212的外周面215之高度差變小,可以抑制因高度差而產生的氣體亂流。此外,氣體亂流對於晶圓200的處理均勻性沒有影響的場合,使外周面215的高度成為比與載置台211同一平面上的高度還要更高的方式構成亦可。
基板載置台212藉由軸217支撐。軸217,貫通處理容器202的底部,進而在處理容器202的外部被連接於升降機構218。被構成為藉由使升降機構218動作而使軸217及基板載置台212升降,可以使被載置於基板載置面211上的晶圓200升降。又,軸217下端部的周圍被伸縮管219覆蓋,處理室201內被保持氣密。軸217與基 板載置台212之間設有第2絕熱部20。此第2絕熱部20,發揮抑制來自前述加熱器213的熱往軸217或搬送空間203傳遞的作用。第2絕熱部20,較佳為設置在比閘閥1490更為上側。更佳為使第2絕熱部20的直徑構成為比軸217的直徑更短。藉此,可以抑制由加熱器213往軸217之熱傳導,可以提高基板載置台212的溫度均勻性。此外,在基板載置部212的下側且與第2絕熱部20之間,換句話說,在比加熱器213更為下側且比第2絕熱部20更為上側之處,設有反射來自加熱器213的熱之反射部30。
藉由把反射部30設在比第2絕熱部20更為上側,可以使來自加熱器213的放射熱不會往下部容器202b的內壁放射而使其反射。此外,可以提高反射效率,可以提高加熱器213之往基板200的加熱效率。把反射部30設在第2絕熱部20的下側的場合,來自加熱器213的熱被第2絕熱部20吸收,所以往加熱器213的反射量降低,使加熱器213的加熱效率降低。此外,可以抑制第2絕熱部20被加熱,因第2絕熱部20而使軸217被加熱的情形。
基板載置台212,在晶圓200搬送時,以基板載置面211成為基板搬入搬出口206的位置(晶圓搬送位置)的方式下降,在晶圓200處理時,如圖1所示,晶圓200上升至處理室201內的處理位置(晶圓處理位置)。
具體而言,在使基板載置台212下降至晶圓 搬送位置時,升降銷207的上端部由基板載置面211的上面突出,升降銷207由下方支撐晶圓200。此外,在使基板載置台212上升至晶圓處理位置時,升降銷207由基板載置面211的上面隱沒,基板載置面211由下方支撐晶圓200。又,升降銷207與晶圓200直接接觸,所以最好是以例如石英或氧化鋁等材質來形成。又,於升降銷207設升降機構,使基板載置台212與升降銷207相對移動的構成方式亦可。於此處理位置,第1絕熱部10,設於比閘閥1490更為上側,比第2絕熱部20的高度更為下側。
藉由把第2絕熱部20設在比第1絕熱部10更為上側,具有可以抑制從軸217往下部容器202b的內壁的放熱量的效果。此外,即使從軸217放熱,也具有抑制與軸217對向的下部容器202b的內壁所受的熱往閘閥1490側熱傳導的效果。
此外,把第1絕熱部10設於後述的排氣口221附近的構成亦可。根據此構成,可以抑制因為排氣口221有高溫的氣體流過來,若不在排氣口221附近絕熱的話,會透過構成處理容器202之壁或移載室空間203等而使種種部位被加熱的情形。
(排氣系統)
於處理室201(上部容器202a)的內壁上面,設有作為排氣處理室201的氛圍的第1排氣部之排氣口221。於排氣口221被連接著作為第1排氣管的排氣管224,於排 氣管224,依序被串聯連接著把處理室201內控制為特定壓力的自動壓力控制器(APC,Auto Pressure Comtroller)等壓力調整器222a、真空泵223。主要以排氣口221、排氣管224、壓力調整器227構成第1排氣部(排氣線)。又,把真空泵223包含於第1排氣部的構成亦可。
於緩衝空間232的內壁上面的噴灑頭234的上部,設有作為排氣處理緩衝空間232的氛圍的作為第2排氣部之噴灑頭排氣口240。於噴灑頭排氣口240被連接著作為第2排氣管的排氣管236,於排氣管236,依序被串聯連接著把閥237、緩衝空間232內控制為特定壓力的自動壓力控制器(APC,Auto Pressure Controller)等壓力調整器238、真空泵239。主要以噴灑頭排氣口240、閥237、排氣管236、壓力調整器238構成第2排氣部(排氣線)。又,把真空泵239包含於第2排氣部的構成亦可。此外,不設真空泵239,而把排氣管236連接於真空泵223的構成亦可。
(氣體導入口)
在設於處理室201的上部的噴灑頭234的上面(屋頂壁),設有對處理室201內供給各種氣體之用的氣體導入口241。關於被連接於氣體供給部之第1氣體導入口241的氣體供給單元的構成,將於稍後詳述。
(氣體分散部)
噴灑頭234由緩衝室(空間)232、分散板234b、分散孔234a所構成。噴灑頭234,設於氣體導入口241與處理室201之間。由氣體導入口241所導入的氣體,被供給至噴灑頭234的緩衝空間232(分散部)。噴灑頭234例如以石英、氧化鋁、不銹鋼、鋁等材料來構成。
又,以具有導電性的金屬形成噴灑頭234的蓋231,作為激發存在於緩衝空間232或處理室201內的氣體之用的活化部(激發部)亦可。此時,蓋231與上部容器202a之間設有絕緣塊233,使蓋231與上部容器202a之間絕緣。在作為活化部之電極(蓋231),連接整合器251與高頻電源252,構成為可以供給電磁波(高頻電力或微波)的方式亦可。
於緩衝空間232,設有使由氣體導入口241導入的氣體在緩衝空間232擴散之用的分散板253。
(處理氣體供給部)
在被連接於分散板253的氣體導入口241,被連接著共通氣體供給管242。如圖6所示,於共通氣體供給管242,被連接著第一氣體供給管243a、第二氣體供給管244a、第三氣體供給管245a、清潔氣體供給管248a。
由包含第一氣體供給管243a的第一氣體供給部243主要供給含第一元素氣體(第一處理氣體),由包含第二氣體供給管244a的第二氣體供給部244主要供給 含第二元素氣體(第二處理氣體)。由包含第三氣體供給管245a的第三氣體供給部245主要供給沖洗氣體,由包含清潔氣體供給管248a的清潔氣體供給部248主要供給清潔氣體。供給處理氣體的處理氣體供給部,以第1處理氣體供給部與第2處理氣體供給部之任一方或者雙方來構成,處理氣體以第1處理氣體與第2處理氣體之任一方或者雙方來構成。
(第一氣體供給部)
於第一氣體供給管243a,由上游方向起依序設有第一氣體供給源243b、流量控制器(流量控制部)之質量流量控制器(MFC)243c,及開閉閥之閥243d。
由第一氣體供給源243b,供給含第一元素之氣體(第一處理氣體),透過質量流量控制器243c、閥243d、第一氣體供給管243a、共通氣體供給管242被供給至緩衝空間232。
第一處理氣體為原料氣體亦即處理氣體之一。
此處,第一元素例如為矽(Si)。亦即,第一處理氣體例如為含矽氣體。作為含矽氣體,例如可以使用二氯矽烷(Dichlorosilane(SiH2Cl2):DCS)氣體。又,第一處理氣體的原料,在常溫常壓下可以是固體、液體、以及氣體之任一種。第一處理氣體的原料在常溫常壓下為液體的場合,只要在第一氣體供給源243b與質量流量控制器 243c之間設置未圖示的氣化器即可。在此說明原料為氣體的場合。
在比第一氣體供給管243a之閥243d更為下游側,被連接著第一惰性氣體供給管246a的下游端。於第一惰性氣體供給管246a,由上游方向起依序設有惰性氣體供給源246b、流量控制器(流量控制部)之質量流量控制器(MFC)246c,及開閉閥之閥246d。
此處,惰性氣體例如為氮氣(N2)。又,作為惰性氣體,除了N2氣以外,例如可以使用氦氣(He)、氖氣(Ne)、氬氣(Ar)等稀有氣體。
主要由第一氣體供給管243a、質量流量控制器243c、閥243d構成含第一元素氣體供給部243(也稱為含矽氣體供給部)。
此外,主要由第一惰性氣體供給管246a、質量流量控制器246c及閥246d構成含第一惰性氣體供給部。又,亦可考慮把惰性氣體供給源246b、第一氣體供給管243a包含於第一惰性氣體供給部。
進而,亦可考慮把第一氣體供給源243b、第一惰性氣體供給部包含於含第一元素氣體供給部。
(第二氣體供給部)
於第二氣體供給管244a的上游,由上游方向起依序設有第二氣體供給源244b、流量控制器(流量控制部)之質量流量控制器(MFC)244c,及開閉閥之閥244d。
由第二氣體供給源244b,供給含第二元素之氣體(以下稱為「第2處理氣體」),透過質量流量控制器244c、閥244d、第二氣體供給管244a、共通氣體供給管242被供給至緩衝空間232。
第2處理氣體為處理氣體之一。又,第2處理氣體,亦可認為是反應氣體或改質氣體。
此處,第2處理氣體含有與第一元素不同的第二元素。作為第二元素,例如包含氧(O)、氮(N)、炭(C)、氫(H)之中的一種以上。在本實施型態,第2處理氣體例如為含氮氣體。具體而言,作為含氮氣體,使用氨氣(NH3)。
主要由第二氣體供給管244a、質量流量控制器244c及閥244d構成含第2處理氣體供給部244。
此外,亦可構成為設置作為活化部之遠程電漿單元(RPU)244e,活化第二處理氣體。
此外,在比第二氣體供給管244a之閥244d更為下游側,被連接著第二惰性氣體供給管247a的下游端。於第二惰性氣體供給管247a,由上游方向起依序設有惰性氣體供給源247b、流量控制器(流量控制部)之質量流量控制器(MFC)247c,及開閉閥之閥247d。
惰性氣體由惰性氣體供給源247b,透過質量流量控制器247c、閥247d、第二惰性氣體供給管247a被供給至緩衝空間232。惰性氣體,在薄膜形成步驟(後述之S203~S207)作為運載氣體或者稀釋氣體發揮作用。
主要由第二惰性氣體供給管247a、質量流量控制器247c及閥247d構成含第二惰性氣體供給部。又,亦可考慮把惰性氣體供給源247b、第二氣體供給管244a包含於第二惰性氣體供給部。
進而,亦可考慮把第二氣體供給源244b、第二惰性氣體供給部包含於含第二元素氣體供給部244。
(第三氣體供給部)
於第三氣體供給管245a,由上游方向起依序設有第三氣體供給源245b、流量控制器(流量控制部)之質量流量控制器(MFC)245c,及開閉閥之閥245d。
由第三氣體供給源245b,供給作為沖洗氣體的惰性氣體,透過質量流量控制器245c、閥245d、第三氣體供給管245a、共通氣體供給管242被供給至緩衝空間232。
此處,惰性氣體例如為氮氣(N2)。又,作為惰性氣體,除了N2氣以外,例如可以使用氦氣(He)、氖氣(Ne)、氬氣(Ar)等稀有氣體。
主要由第三氣體供給管245a、質量流量控制器245c、閥245d構成第三氣體供給部245(也稱為沖洗氣體供給部)。
(清潔氣體供給部)
於清潔氣體供給管248a,由上游方向依序設有清潔 氣體源248b、質量流量控制器(MFC)248c、閥248d、遠程電漿單元(RPU)250。
由清潔氣體源248b,供給清潔氣體,透過質量流量控制器(MFC)248c、閥248d、RPU250、清潔氣體供給管248a、共通氣體供給管242被供給至緩衝空間232。
在比清潔氣體供給管248a之閥248d更為下游側,被連接著第四惰性氣體供給管249a的下游端。於第四惰性氣體供給管249a,由上游方向起依序設有第四惰性氣體供給源249b、質量流量控制器(MFC)249c,及閥249d。
此外,主要由清潔氣體氣體供給管248a、質量流量控制器248c及閥248d構成清潔氣體供給部。又,亦可考慮把清潔氣體源248b、第四惰性氣體供給管249a、RPU250包含於清潔氣體供給部。
又,把從第四惰性氣體供給源249b供給的惰性氣體,以作為清潔氣體之運載氣體或者稀釋氣體發揮作用的方式來供給亦可。
由清潔氣體供給源248b所供給的清潔氣體,在清潔步驟作為除去附著於氣體整流部234或處理室201的副產物等之清潔氣體來發揮作用。
在此,清潔氣體例如為三氟化氮(NF3)氣體。又,作為清潔氣體,例如亦可使用氟化氫(HF)氣體、三氟化氯(ClF3)氣體、氟(F2)氣體等,或者組合 使用這些亦可。
此外,作為設於前述各氣體供給部的流量控制部,以使用針閥或孔口等對於氣體流的回應性很高的構成為佳。例如,氣體的脈衝寬幅為毫秒等級的場合,會有MFC無法回應的情形,但在針閥或孔口的場合,藉由與高速的開關(ON/OFF)閥組合,可以對應於毫秒以下的氣體脈衝。
(控制部)
如圖1或圖5所示真空室100具有控制真空室100的各部的動作的控制器260。
控制器260的概略內容顯示於圖7。控制部(控制手段)之控制器260,被構成為具備CPU(Central Processing Unit)260a、RAM(Random Access Memory)260b、記憶裝置260c、I/O埠260d之電腦。RAM260b、記憶裝置260c、I/O埠260d,以可以透過內部匯流排260e,而與CPU260a進行資料交換的方式被構成。於控制器260,被構成為可以連接例如作為觸控面板等而構成的輸出入裝置261,或者外部記憶裝置262。
記憶裝置260c,例如以快閃記憶體、HDD(硬碟,Hard Disk Drive)等來構成。於記憶裝置260c內,有控制基板處理裝置的動作之控制程式,或記載著後述的基板處理的程序或條件等的製程處方等,被可讀出地收容著。又,製程處方,係以使後述的基板處理步驟之各 程序在控制器260執行,可以得到特定的結果的方式被組合者,作為程式而發揮機能。以下,總稱此製程處方或控制程式等,也簡稱為程式。又,於本說明書使用程式一詞的場合,有著僅包含製程處方單體而已的場合,有僅包含控制程式單體的場合,或者有包含其雙方的場合。此外,RAM260b,被構成為暫時保持著藉由CPU260a讀出的程式或資料等的記憶體區域(工作區域)。
I/O埠260d,被連接於閘閥1330,1350,1490、升降機購218、加熱器213、壓力調整器227,238、真空泵223、整合器251、高頻電源252等。
CPU260a,以由記憶裝置260c讀出控制程式而執行,同時因應於來自輸出入裝置261的操作指令的輸入等由記憶裝置260c讀出製程處方的方式構成。接著,被構成為CPU260a,以依照讀出的製程處方的內容的方式,控制閘閥1330,1350,1490(1490a,1490b,1490c,1490d,1490e,1490f,1490g,1490h)的開閉動作、升降機構218的升降動作、往加熱器213之電力供給動作、壓力調整器227,238的壓力調整動作、真空泵223的開閉控制、遠隔電漿單元244e的氣體活化的動作、閥237的氣體開閉控制、整合器251的電力整合動作、高頻電源252的開關控制等。
又,控制器260,不限於構成作為專用的電腦的場合,亦可構成為泛用的電腦。例如,可以藉由準備收容前述程式的外部記憶裝置(例如,磁帶、軟碟或硬碟等 磁碟、CD或DVD等光碟、MO等光磁碟、USB記憶體或記憶卡等半導體記憶體)262,使用相關的外部記憶裝置262在泛用的電腦安裝程式等,而構成相關於本實施型態的控制器260。又,對電腦供給程式的手段,不限於透過外部記憶裝置262供給的場合。例如,使用網路263(網際網路或專線)等通訊手段,不透過外部記憶裝置262而供給程式亦可。又,記憶裝置260c或外部記憶裝置262,被構成為電腦可讀取的記錄媒體。以下,將這些總稱,亦簡稱為記錄媒體。又,於本說明書使用記錄媒體一詞的場合,有著僅包含記憶裝置260c單體而已的場合,有僅包含外部記憶裝置262單體的場合,或者有包含其雙方的場合。
(2)基板處理步驟
其次,參照圖8、9說明使用前述基板處理裝置之處理爐,作為半導體裝置(semiconductor device)之製造步驟之一個步驟,在基板上形成絕緣膜且係例如作為含矽膜之矽氧化膜(SiO)的循序例。又,於以下的說明,構成基板處理裝置的各部動作係藉由控制器260控制。
又,於本說明書使用「晶圓」一詞的場合,有意味著「晶圓本身」的場合,或是意味著「晶圓與被形成於其表面的特定的層或膜等之層積體(集合體)」的場合(亦即,包含被形成於表面的特定的層或膜等稱為晶圓的場合)。此外,於本說明書使用「晶圓的表面」一詞的 場合,有意味著「晶圓本身的表面(露出面)」的場合,或是意味著「被形成於晶圓的特定的層或膜等的表面,亦即,作為層積體之晶圓的最表面」的場合。
亦即,於本說明書記載著「對晶圓供給特定的氣體」的場合,有意味著「對晶圓自身的表面(露出面)直接供給特定的氣體」的場合,或是意味著「對被形成於晶圓的層或膜等,亦即對作為層積體的晶圓的最表面供給特定的氣體」的場合。此外,於本說明書記載著「在晶圓上形成特定之層(或膜)」的場合,有意味著「在晶圓自身的表面(露出面)上直接形成特定的層(或膜)」的場合,或是意味著「在被形成於晶圓上的層或膜等之上,亦即在作為層積體的晶圓的最表面之上形成特定的層(或膜)」的場合。
又,於本說明書使用「基板」一詞的場合,與使用「晶圓」一詞的場合同樣,在此場合,把「晶圓」置換為「基板」來考慮即可。
以下,說明基板處理步驟。
(基板搬入步驟S201)
在基板處理步驟,首先,把晶圓200搬入處理室201。具體而言,使基板支撐部210藉由升降機構218使其下降,成為升降銷207由貫通孔214突出至基板支撐部210的上面側的狀態。此外,把處理室201內調整壓力為特定壓力之後,開放閘閥1490,使晶圓200由閘閥1490 載置至升降銷207上。把晶圓200載置於升降銷207上之後,藉由升降機構218使基板支撐部210上升至特定的位置,使晶圓200由升降銷207往基板支撐部210載置。
(減壓/溫度調整步驟S202)
接著,以使處理室201內成為特定壓力(真空度)的方式,透過處理室排氣管224排氣處理室201內。此時,根據壓力感測器測定的壓力值,反饋控制作為壓力調整器222、227之APC閥的閥體的開度。此外,根據溫度感測器(未圖示)檢測出的溫度值,以使處理室201內成為特定溫度的方式反饋控制往加熱器213之通電量。具體而言,預先藉由加熱器213加熱基板支撐部210,在晶圓200或基板支撐部210的溫度不變化後,放置晶圓200一段時間。其間,有殘留於處理室201內的水分或者來自構件的脫出氣體等的場合,藉由真空排氣或者根據N2氣體的供給之清洗來除去亦可。藉此完成成膜製程前的準備。又,排氣處理室201內至特定的壓力時,一口氣真空排氣到可到達的真空度亦可。
(成膜步驟S301A)
接著,說明在晶圓200形成SiO膜之例。使用圖8、9說明成膜步驟S301A之詳細內容。
晶圓200被載置於基板支撐部210,等處理室201內的氛圍安定之後,如圖8所示,進行S203~S207 之步驟。
(第1氣體供給步驟S203)
在第1氣體供給步驟S203,由第1氣體供給部對處理室201內供給作為第1氣體(原料氣體)之含矽氣體。作為含矽氣體,例如有二氯矽烷(DCS)。具體而言,打開氣體閥,由氣體源對基板處理裝置100供給含矽氣體。此時,打開處理室側閥,以MFC調整至特定流量。被調整流量的含矽氣體,通過緩衝空間232,由噴灑頭234的分散孔234a,被供給至減壓狀態的處理室201內。此外,繼續根據排氣系統之處理室201內的排氣,使處理室201內的壓力成為特定壓力範圍(第1壓力)的方式進行控制。此時,成為對晶圓200供給含矽氣體的含矽氣體,以特定的壓力(第1壓力:例如100Pa以上20000Pa以下)供給至處理室201內。如此進行,對晶圓200供給含矽氣體。藉由被供給含矽氣體,在晶圓200上,形成含矽層。
(第1沖洗步驟S204)
在晶圓200上形成含矽層厚,停止含矽氣體的供給。藉著停止原料氣體,藉由使處理室201中存在的原料氣體,或緩衝空間232中存在的原料氣體由處理室排氣管224排氣,進行第1沖洗步驟S204。
此外,在沖洗步驟,除了只是把氣體與以排 氣(抽真空)而排出氣體以外,亦可構成為供給惰性氣體根據壓出殘留氣體進行排出處理的方式。此外,組合抽真空與惰性氣體的供給來進行亦可。此外,交互進行抽真空與惰性氣體的供給的方式構成亦可。
又,此時,打開噴灑頭排氣管236的閥237,使存在於緩衝空間232內的氣體由噴灑頭排氣管236排氣亦可。又,於排氣中,藉由壓力調整器227與閥237,控制噴灑頭排氣款236與緩衝空間232內的壓力(排氣傳導率)。排氣傳導率,以使來自緩衝空間232之噴灑頭排氣管236的排氣傳導率,變成比透過處理室201之往處理室排氣管224之排氣傳導率還要高的方式控制壓力調整器227與閥237亦可。藉由如此進行調整,形成從緩衝空間232的端部之氣體導入口241朝向另一方端部之噴灑頭排氣口240的氣體流。藉由如此進行,附著於緩衝空間232之壁的氣體,或浮游於緩衝空間232內的氣體不會進入處理室201而可以從噴灑頭排氣管236排氣。又,以抑制從處理室201往緩衝空間232內之氣體逆流的方式調整緩衝空間232內的壓力與處理室201的壓力(排氣傳導率)亦可。
此外,在第1沖洗步驟,繼續真空泵223的動作,使存在於處理室201內的氣體由真空泵223排氣。又,以使從處理室201往處理室排氣管224的排氣傳導率,比往緩衝空間232的排氣傳導率還要高的方式調整壓力調整器227與閥237亦可。藉由這樣進行調整,形成經 由處理室201朝向處理室排氣管224的氣體流,可以排出殘留於處理室201內的氣體。
特定時間經過後,停止惰性氣體的供給,同時關閉閥237遮斷從緩衝空間232往噴灑頭排氣管236之流路。
更佳者為經過特定時間後,接著使真空泵223繼續動作,同時關閉閥237。如此進行的話,經過處理室201之朝向處理室排氣管224的氣流不會受到噴灑頭排氣管236的影響,所以可更確實地對基板上供給惰性氣體,可以更為提高基板上的殘留氣體的處去效率。
又,由處理室沖洗氛圍,除了單純抽真空排出氣體以外,根據惰性氣體的供給之氣體壓出動作也是有用的。因此,亦可採用在第1沖洗步驟,對緩衝空間232內供給惰性氣體而進行藉由壓出殘留氣體而進行排出動作的方式。此外,組合抽真空與惰性氣體的供給來進行亦可。此外,交互進行抽真空與惰性氣體的供給的方式構成亦可。
此外,此時對處理室201內供給的N2氣體的流量也沒有必要是大流量,例如,亦可以供給與處理室201的容積同程度的量。藉由這樣進行沖洗,可以減低對下個步驟的影響。此外,藉由不完全沖洗處理室201內,可以縮短沖洗時間,提高製造生產率。此外,N2氣體的消耗也可以抑制於必要的最小限度。
此時的加熱器213的溫度,以往晶圓200供 給原料氣體時同樣,設定成為200~750℃,較佳為300~600℃,更佳為在300~550℃的範圍內的一定溫度的方式被設定。作為由各惰性氣體供給系統供給的沖洗氣體之N2氣體的供給流量,分別為例如100~20000sccm的範圍內的流量。作為沖洗氣體,除了N2氣體以外,亦可使用Ar,He,Ne,Xe等稀有氣體。
(第2處理氣體供給步驟S205)
第1氣體沖洗步驟之後,透過氣體導入口241、複數分散孔234a,對處理室201內供給作為第2氣體(反應氣體)之含氮氣體。含氮氣體例如例示使用氨氣體(NH3)之例。因為透過分散孔234a供給至處理室201,所以可在基板上均勻地供給氣體。因此可以使膜厚均勻。又,供給第2氣體時,透過作為活化部(激發部)之遠隔電漿單元(RPU),可對處理室201內供給被活化的第2氣體的方式構成亦可。
此時,係以使NH3氣體的流量以成為特定流量的方式調整質量流量控制器。又,NH3氣體的供給流量例如為100sccm以上10000sccm以下。此外,NH3氣體流動於RPU內時,使RPU為ON狀態(電源打開的狀態),以使NH3氣體活化的方式進行控制。
NH3氣體,被供給至形成於晶圓200上的含矽層時,含矽層被改質。例如,形成矽元素或者含有矽元素的改質層。又,藉由設置RPU,把活化的NH3氣體供給 至晶圓200上,可以形成更多改質層。
改質層,例如因應於處理室201內的壓力,NH3氣體的流量、晶圓200的溫度、RPU之電力供給情形,以特定的厚度、特定的分布、對含矽層之特定氮成分等地侵入深度形成。
特定時間經過後,停止NH3氣體的供給。
(第2沖洗步驟S206)
藉著停止NH3氣體的供給,藉由使處理室201中存在的NH3氣體,或緩衝空間232中存在的NH3氣體由第1排氣部排氣,進行第2沖洗步驟S206。第2沖洗步驟S206進行與前述第1沖洗步驟S204同樣的步驟。
在第2沖洗步驟S206,繼續真空泵223的動作,使存在於處理室201內的氣體由處理室排氣管224排氣。又,以使從處理室201往處理室排氣管224的排氣傳導率,比往緩衝空間232的排氣傳導率還要高的方式調整壓力調整器227與閥237亦可。藉由這樣進行調整,形成經由處理室201朝向處理室排氣管224的氣體流,可以排出殘留於處理室201內的氣體。此外,在此藉由供給惰性氣體,可以使惰性氣體更確實地供給至基板上,基板上的殘留氣體的除去效率變高。
特定時間經過後,停止惰性氣體的供給,同時關閉閥237遮斷從緩衝空間232與噴灑頭排氣管236之間。
更佳者為經過特定時間後,接著使真空泵223繼續動作,同時關閉閥237。如此構成的話,經過處理室201之朝向噴灑頭排氣管236的氣流不會受到處理室排氣管224的影響,所以可更確實地對基板上供給惰性氣體,可以更為提高基板上的殘留氣體的處去效率。
又,由處理室沖洗氛圍,除了單純抽真空排出氣體以外,根據惰性氣體的供給之氣體壓出動作也是有用的。此外,組合抽真空與惰性氣體的供給來進行亦可。此外,交互進行抽真空與惰性氣體的供給的方式構成亦可。
此外,此時對處理室201內供給的N2氣體的流量也沒有必要是大流量,例如,亦可以供給與處理室201的容積同程度的量。藉由這樣進行沖洗,可以減低對下個步驟的影響。此外,藉由不完全沖洗處理室201內,可以縮短沖洗時間,提高製造生產率。此外,N2氣體的消耗也可以抑制於必要的最小限度。
此時的加熱器213的溫度,以往晶圓200供給原料氣體時同樣,設定成為200~750℃,較佳為300~600℃,更佳為在300~550℃的範圍內的一定溫度的方式被設定。作為由各惰性氣體供給系統供給的沖洗氣體之N2氣體的供給流量,分別為例如100~20000sccm的範圍內的流量。作為沖洗氣體,除了N2氣體以外,亦可使用Ar,He,Ne,Xe等稀有氣體。
(判定步驟S207)
第1沖洗步驟S206結束後,控制器260,判定前述成膜步驟S301A之中,S203~S206是否被執行了特定的循環數n(n為自然數)。也就是說,判定晶圓200上是否被形成了所要厚度的膜。藉由把前述之步驟S203~S206作為1個循環,將此循環執行至少1次以上(步驟S207),可以在晶圓200上,形成特定膜厚的含矽及氧的絕緣膜,亦即形成SiO膜。又,前述循環,以反覆複數次為佳。藉此,在晶圓200上形成特定膜厚的SiO膜。
未被實施特定次數時(在S207判定為No時),反覆S203~S206之循環。實施了特定次數時(在S207判定為Yes時),結束成膜步驟S301A,執行搬送壓力調整步驟S208與基板搬出步驟S209。
(搬送壓力調整步驟S208)
在搬送壓力調整步驟S208,以使處理室201內或搬送空間203成為特定壓力(真空度)的方式,透過處理室排氣管224排氣處理室201內或搬送空間203內。此時之處理室201內或搬送空間203內的壓力,被調整為真空搬送室1400內的壓力以上。此外,亦可以構成圍在此搬送壓力調整步驟S208之期間或者之前或者之後,以使晶圓200的溫度冷卻至特定溫度為止的方式以升降銷207來保持的方式。
(基板搬出步驟S209)
在搬送壓力調整步驟S208當處理室201內成為特定壓力後,打開閘閥1490,把晶圓200由搬送空間203搬出至真空搬送室1400。
以這樣的步驟進行晶圓200的處理。
<其他實施型態>
圖10、11顯示其他實施型態。於基板處理裝置100,對晶圓200進行熱處理時,處理容器202內被暴露於高熱。因此,處理容器202(上部容器202a、下部容器202b),延伸輿圖10之箭頭X,Y方向、Z方向。本案發明人等發現了因此所產生的種種課題。又,在此,X方向,Y方向是對晶圓200之面平行的方向,與圖1所載的方向相同。Z方向為對晶圓200之面垂直的方向。
例如,下部容器202b,在Z方向上延伸。因此,基板載置台212與噴灑頭234間的距離(緩衝空間232的高度)會改變,處理室201內的氣傳導率會改變,使得處理均勻性降低。進而,藉由下部容器202b之Z方向的延伸,使得基板載置台212與區隔板204之間(參照圖10之圓點線A)拉開間隔50。藉此,對處理室201供給的氣體,或者在處理室201產生的副產物等,會進入搬送室203。因氣體或副產物等進入搬送室203,導致在搬送室203內的構件附著了膜或微粒等。這裡所說的構件,例如為搬送室203的內壁、基板載置台212的背面、升降 銷207、軸217、伸縮管219、閘閥1490等。此膜,或微粒,在基板搬入步驟S201、第1沖洗步驟S204、第2沖洗步驟S206、基板搬出步驟S209等,會妨礙由搬送室203往處理室201之流入與對晶圓200之處理,會使形成於晶圓200的膜的平坦性惡化。
此外,例如下部容器202b往X方向與Y方向之任一或者雙方的方向延伸。藉此,基板載置台212的中心與噴灑頭234的中心偏移,會使對晶圓200的處理均勻性降低。此外,還發現了隨著上部容器202a與下部容器202b之X,Y方向的偏移,在上部容器202a與下部容器202b之連接部分會有應力施加,而使上部容器202a與下部容器202b之任一或者雙方會有破損之虞。
發明人等為了解決這些課題經過銳意研究的結果,發現藉由在上部容器202a與下部容器202b之間設置應力緩和材,可以吸收上部容器202a的Z方向的延伸,以及下部容器202b的Z方向的延伸量,或者可以吸收X方向與Y方向之任一或者雙方的方向上的偏移。
圖10顯示在第1絕熱部10的上側設應力緩和材40之例。於圖11作為應力緩和材40之例,顯示了中空型、肋片型。應力緩和材40,藉由來自加熱器213的熱影響導致的處理容器202的膨脹,抑制基板載置台212與噴灑頭234的中心位置偏移。第1絕熱構件10與應力緩和材40的位置可以上下顛倒。作為應力緩和材40之例,於圖11(a)顯示中空型的應力緩和材40的橫剖 面圖,圖11(b)顯示其立體圖。中空型的應力緩和材40的內部流通以冷卻材亦可。於圖11(c)顯示肋片型的應力緩和材40的橫剖面圖,圖11(d)顯示其立體圖。藉由做成肋片型(葉片形狀),可以冷卻應力緩和材40。在此,以分別的型態說明第1絕熱部10與應力緩和材40,但第1絕熱部10與應力緩和材40亦可一體化。把絕熱構件作成應力緩和材40的形狀亦可。
此外,應力緩和材40,如圖11(a)(b)所示,藉由做成中空型的構造,或者如圖11(c)(d)所示做成肋片型構造,第1絕熱部10之與基板200平行方向的剖面積,可以形成為比前述移載室203之壁的與基板200平行方向的剖面積還要小。藉由使第1絕熱部10的剖面積比移載室203之壁的剖面積更小,可以抑制由處理室201往移載室203之壁傳導的熱量。
此外,在前述,記載了把第2絕熱構件20構成為與軸217的直徑相同之例,但不限於此,亦可如圖10那樣,構成為比軸217的直徑更短。如此,藉由使構成為比軸217的直徑更短,可以抑制由基板載置台212往軸217傳導的熱量。此外,藉由減少第2絕熱構件20的表面積,可以抑制由第2絕熱構件20往搬送室203內的構件的熱放射。又,把第2絕熱構件20做成如圖11記載那樣的中空構造亦可,構成為肋片型構造亦可。藉此,可以抑制由基板載置台212往軸217傳導的熱量。
此外,在前面記載了交互供給原料氣體與反 應氣體的成膜方法,但只要原料氣體與反應氣體的氣相反應量或副產物的發生量在容許範圍內,也可以適用其他方法。例如,使原料氣體與反應氣體的供給時機重疊的方法。
此外,在前面記載了成膜處理,但亦可適用於其他的處理。例如,可以適用擴散處理、氧化處理、氮化處理、氧化氮化處理、還原處理、氧化還原處理、蝕刻處理、加熱處理等。例如,僅使用反應氣體,把基板表面或被形成於基板之膜予以電漿氧化處理,或是電漿氮化處理時也可以適用本發明。此外,僅使用反應氣體之電漿退火處理也可以適用。
此外,在前面記載了半導體裝置之製造步驟,但相關於本案實施型態的發明,對於半導體裝置的製造步驟以外也可以適用。例如,也可以適用於液晶裝置的製造步驟、太陽電池的製造步驟、發光裝置的製造步驟、玻璃基板的處理步驟、陶瓷基板的處理步驟、導電性基板的處理步驟等基板處理。
此外,在前面顯示了使用作為原料氣體使用含矽氣體,作為反應氣體使用含氮氣體,形成矽氧化膜之例,但是使用其他氣體之成膜也可以適用。例如可以使用於含氧膜、含氮膜、含碳膜、含硼膜、含金屬膜與複合含有這些元素的膜等。又,做為這些膜,例如有SiN膜、AlO膜、ZrO膜、HfO膜、HfAlO膜、ZrAlO膜、SiC膜、SiCN膜、SiBN膜、TiN膜、TiC膜、TiAlC膜等。 比較為了形成這些膜而使用的原料氣體與反應氣體分別的氣體特性(吸附性、脫離性、蒸氣壓等),藉由適當變更供給位置或噴灑頭234內的構造,也可以得到同樣的效果。
此外,設於製程模組內的真空室可以是一個也可以是複數個。製程模組內設置複數真空室的場合,製程模組的熱容量變大,所以維修一個以上的製程模組的場合的影響會變大。
此外,先前顯示在一個處理室處理一枚基板的裝置構成,但不限於此,也可以是把複數枚基板排列於水平方向或垂直方向的裝置。

Claims (10)

  1. 一種基板處理裝置,其特徵為具有:具有:形成處理基板的處理空間之上部容器,以及形成與前述處理空間鄰接的移載空間之下部容器之處理容器、設於前述移載空間之軸、被連接於前述軸而具有加熱部之基板載置台、設於前述下部容器的壁面,前述基板載置台位於處理位置時,以前述基板載置台與區隔板隔開前述上部容器與前述下部容器的方式,與前述基板載置台的外周的上側重疊之區隔板、設於前述區隔板的下側,且為前述移載空間之壁的前述處理空間側之第1絕熱部、以及設於前述基板載置台位於處理位置時之前述軸與前述基板載置台側之間,且比前述第1絕熱部更為上側的第2絕熱部。
  2. 如申請專利範圍第1項之基板處理裝置,其中前述區隔板,載前述基板載置台位於處理位置時,以與前述基板載置台的外周之上側接觸而重疊的方式構成。
  3. 如申請專利範圍第1項之基板處理裝置,其中前述第1絕熱部,設於比設在前述下部容器之壁的閘閥的高度更為上側,前述第2絕熱部,於處理時設於比前述閘閥的高度更為上側的位置。
  4. 如申請專利範圍第1項之基板處理裝置,其中前述上部容器與前述下部容器之間設有應力緩和材。
  5. 如申請專利範圍第1項之基板處理裝置,其中前述第2絕熱部的側面,被構成為露出於前述移載空間,前述第2絕熱部與前述基板載置台之間具有反射部。
  6. 如申請專利範圍第3項之基板處理裝置,其中前述第2絕熱部的側面,被構成為露出前述移載空間,前述第2絕熱部與前述基板載置台之間具有反射部。
  7. 如申請專利範圍第1項之基板處理裝置,其中係以前述第1絕熱部之與基板平行方向的剖面積,比前述移載室之壁之與基板平行方向的剖面積更小的方式形成的。
  8. 如申請專利範圍第1項之基板處理裝置,其中前述區隔板,在前述基板載置台位於處理位置時,以前述基板側的端部比前述基板載置台的外周端部更往前述基板側突出而與前述基板載置台的外周端部的上側重疊的方式構成。
  9. 如申請專利範圍第1項之基板處理裝置,其中前述第1絕熱部,為中空構造或在前述下部容器的外側且前述基板載置台的周圍方向上具有複數凹部。
  10. 如申請專利範圍第7項之基板處理裝置,其中前述第1絕熱部,為中空構造或在前述下部容器的外側且前述基板載置台的周圍方向上具有複數凹部。
TW105111658A 2015-12-25 2016-04-14 基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體 TWI678775B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-253778 2015-12-25
JP2015253778A JP6318139B2 (ja) 2015-12-25 2015-12-25 基板処理装置、半導体装置の製造方法及びプログラム

Publications (2)

Publication Number Publication Date
TW201724393A TW201724393A (zh) 2017-07-01
TWI678775B true TWI678775B (zh) 2019-12-01

Family

ID=59086737

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105111658A TWI678775B (zh) 2015-12-25 2016-04-14 基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體

Country Status (5)

Country Link
US (1) US20170186634A1 (zh)
JP (1) JP6318139B2 (zh)
KR (1) KR20170077013A (zh)
CN (1) CN106920760B (zh)
TW (1) TWI678775B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
JP7049818B2 (ja) * 2017-12-13 2022-04-07 東京エレクトロン株式会社 成膜装置
JP2020026571A (ja) * 2018-08-17 2020-02-20 東京エレクトロン株式会社 成膜方法及び成膜装置
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
KR20210004024A (ko) * 2019-07-03 2021-01-13 주성엔지니어링(주) 기판처리장치용 가스공급장치
US11282711B2 (en) * 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
TWI762068B (zh) * 2020-12-07 2022-04-21 創意電子股份有限公司 測試設備、其元件搬運裝置及測試設備之測試方法
JP7114763B1 (ja) * 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070095289A1 (en) * 2003-06-23 2007-05-03 Tokyo Electron Limited Heat treatment apparatus
US20100279008A1 (en) * 2007-09-12 2010-11-04 Tokyo Electron Limited Film deposition apparatus and film deposition method
US20140165915A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003231970A (ja) * 2002-02-08 2003-08-19 Hitachi Zosen Corp 基板処理装置および基板処理方法
JP2009231401A (ja) * 2008-03-21 2009-10-08 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP2013008949A (ja) * 2011-05-26 2013-01-10 Hitachi Kokusai Electric Inc 基板載置台、基板処理装置及び半導体装置の製造方法
CN103094156B (zh) * 2011-11-03 2016-02-10 北京北方微电子基地设备工艺研究中心有限责任公司 基片处理设备及其腔室装置和基片加热方法
JP2013105831A (ja) * 2011-11-11 2013-05-30 Sharp Corp 気相成長装置
JP2013197232A (ja) * 2012-03-19 2013-09-30 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070095289A1 (en) * 2003-06-23 2007-05-03 Tokyo Electron Limited Heat treatment apparatus
US20100279008A1 (en) * 2007-09-12 2010-11-04 Tokyo Electron Limited Film deposition apparatus and film deposition method
US20140165915A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components

Also Published As

Publication number Publication date
US20170186634A1 (en) 2017-06-29
TW201724393A (zh) 2017-07-01
KR20170077013A (ko) 2017-07-05
JP6318139B2 (ja) 2018-04-25
CN106920760B (zh) 2020-07-14
CN106920760A (zh) 2017-07-04
JP2017118001A (ja) 2017-06-29

Similar Documents

Publication Publication Date Title
TWI633578B (zh) Substrate processing apparatus, manufacturing method and program of semiconductor device
TWI678775B (zh) 基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體
TWI618813B (zh) Substrate processing apparatus, manufacturing method and program of semiconductor device
TWI634230B (zh) Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
TWI637440B (zh) Substrate processing system, manufacturing method of semiconductor device, program, and recording medium
JP6339057B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム
JP5947435B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6000665B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
US10546761B2 (en) Substrate processing apparatus
US10503152B2 (en) Method of manufacturing semiconductor device
TWI584394B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium on which a program is recorded
TW202213571A (zh) 基板處理系統、半導體裝置之製造方法及程式
JP2013201333A (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法