JP2013197232A - 基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。 - Google Patents

基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。 Download PDF

Info

Publication number
JP2013197232A
JP2013197232A JP2012061471A JP2012061471A JP2013197232A JP 2013197232 A JP2013197232 A JP 2013197232A JP 2012061471 A JP2012061471 A JP 2012061471A JP 2012061471 A JP2012061471 A JP 2012061471A JP 2013197232 A JP2013197232 A JP 2013197232A
Authority
JP
Japan
Prior art keywords
substrate
chamber
processing
transfer
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012061471A
Other languages
English (en)
Other versions
JP2013197232A5 (ja
Inventor
Takeshi Yasui
毅 保井
Naoya Matsuura
直哉 松浦
Mitsuru Fukuda
満 福田
Hiroyuki Ogawa
洋行 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2012061471A priority Critical patent/JP2013197232A/ja
Priority to US13/804,833 priority patent/US20130243550A1/en
Publication of JP2013197232A publication Critical patent/JP2013197232A/ja
Publication of JP2013197232A5 publication Critical patent/JP2013197232A5/ja
Priority to US15/181,710 priority patent/US20160284581A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67718Changing orientation of the substrate, e.g. from a horizontal position to a vertical position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】装置の製造スループットを向上させることができる基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体を提供する。
【解決手段】処理される基板と、真空雰囲気で前記基板を搬送する搬送室と、前記搬送室に設けられ前記基板を搬送する基板搬送部と、前記搬送室に隣接して少なくとも2つ設けられ前記基板を処理する処理室と、前記搬送室と前記処理室との間にそれぞれ設けられたゲートバルブと、前記基板搬送部と前記ゲートバルブとを制御する制御部と、を有する基板処理装置であって、前記制御部は、前記基板搬送部が前記基板を搬送動作中に、前記少なくとも2つのゲートバルブを開閉するよう制御する。
【選択図】図5

Description

本発明は、複数の基板を連続的に処理するに際して、基板の搬送を効率的に行う基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体に関する。
例えば、半導体基板(基板)としてのウェハに所定の処理を施す半導体製造装置といった基板処理装置では、複数の処理室を設けて、各処理室においてウェハに対して成膜処理や熱処理等を施している。また、処理室間などでは、真空中、つまり負圧で、搬送ロボットによりウェハを搬送している。
特許文献1は、ウェハを処理する複数の処理炉136〜139と、ウェハを一時的に収容する予備室122,123と、処理炉136〜139と予備室122,123との間でゲートバルブを介してウェハを搬送する第一の移載機112と、予備室122,123に対してゲートバルブを介してウェハを搬送する第二の移載機124と、を有する基板処理装置および半導体装置の製造方法を開示する。
また、特許文献2は、プロセスチャンバPM1にウェハWを搬送するため、プロセスチャンバPMのゲート弁G5を開とした後、ゲート弁G5の開閉を検出する開閉センサのON,OFFにより、ゲート弁G5が開かれているかどうかを判定する構成を開示する。
また、特許文献3は、ゲートバルブ12,13を開けてカセット室CM1から搬送室TMを経て反応室PM1にウェハを搬送し、バルブ12,13を閉めてPM1にてウェハWに処理を施す構成を開示する。
特開2010−153453号公報 特開2009−135433号公報 特開2004−63741号公報
しかしながら、上記基板処理装置にて実施される半導体装置の製造工程では、ゲートバルブの開閉によって基板搬送部としての搬送ロボットが停止している時間が長く、スループットを低下させる原因になっていた。
本発明の目的は、装置の製造スループットを向上させることができる基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体を提供することにある。
本発明の一態様によれば、処理される基板と、真空雰囲気で前記基板を搬送する搬送室と、前記搬送室に設けられ前記基板を搬送する基板搬送部と、前記搬送室に隣接して少なくとも2つ設けられ前記基板を処理する処理室と、前記搬送室と前記処理室との間にそれぞれ設けられたゲートバルブと、前記基板搬送部と前記ゲートバルブとを制御する制御部と、を有する基板処理装置であって、前記制御部は、前記基板搬送部が前記基板を搬送動作中に、前記少なくとも2つのゲートバルブを開閉するよう制御する基板処理装置が提供される。
本発明の他の態様によれば、基板の搬送空間となる搬送室に設けられた基板搬送部が、前記搬送室内で旋回動作をする工程と、前記搬送室に隣接して少なくとも2つ設けられ、基板の処理空間となる処理室で基板を処理する工程と、制御部が前記基板搬送部の旋回動作中に前記搬送室と前記処理室との間にそれぞれ設けられた少なくとも2つのゲートバルブを開閉する工程と、を有する基板処理方法が提供される。
本発明の他の態様によれば、基板の搬送空間となる搬送室に設けられた基板搬送部が、前記搬送室内で旋回動作をする工程と、前記搬送室に隣接して少なくとも2つ設けられ、基板の処理空間となる処理室で基板を処理する工程と、制御部が前記基板搬送部の旋回動作中に前記搬送室と前記処理室との間にそれぞれ設けられた少なくとも2つのゲートバルブを開閉する工程と、を有する半導体装置の製造方法が提供される。
また、本発明の他の態様によれば、基板の搬送空間となる搬送室に設けられた基板搬送部が、前記搬送室内で旋回動作をする工程と、前記搬送室に隣接して少なくとも2つ設けられ、基板の処理空間となる処理室で基板を処理する工程と、制御部が前記基板搬送部の旋回動作中に前記搬送室と前記処理室との間にそれぞれ設けられた少なくとも2つのゲートバルブを開閉する工程と、を有する半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体が提供される。
本発明に係る基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体によれば、装置の製造スループットを向上させることができる。
本発明の一実施形態に係る基板処理装置の構成例を示す横断面図である。 本発明の一実施形態に係る基板処理装置の構成例を示す縦断面図である。 本発明の一実施形態に係る制御部の構成例を示すブロック図である。 本発明の一実施形態に係る処理室およびその周辺の構成例を示す図である。 本発明の一実施形態に係るゲートバルブ開閉シーケンスを示す図である。 本発明の他の実施形態に係るゲートバルブ開閉シーケンス示す図である。 比較例に係るゲートバルブ開閉シーケンスを示す図である。
(1)基板処理装置の構成
本発明の一実施形態に係る基板処理装置の概要構成を、図1および図2を用いて説明する。図1は、本実施形態に係る基板処理装置の構成例を示す横断面図である。図2は、本実施形態に係る基板処理装置の構成例を示す縦断面図である。
図1および図2において、本発明が適用される基板処理装置においては、シリコン(Si)基板などのウェハ200を搬送するキャリアとしては、FOUP(Front Opening Unified Pod)として構成されたポッド100が使用されている。ポッド100内には、未処理のウェハ200や処理済のウェハ200がそれぞれ水平姿勢で複数格納されるように構成されている。また、以下の説明において、前後左右は、X1方向が右、X2方向が左、Y1方向が前、Y2方向が後とする。
(真空搬送室)
図1および図2に示されているように、基板処理装置は、負圧下でウェハ200が搬送される搬送空間となる搬送室としての真空搬送室(トランスファモジュール)103を備えている。真空搬送室103を構成する筐体101は平面視が六角形に形成され、六角形の各辺には、後述の予備室122,123及び各処理室201a〜201dが、ゲートバルブ160,165,161a〜161dを介してそれぞれ連結されている。真空搬送室103の略中央部には、負圧下でウェハ200を移載(搬送)する搬送ロボットとしての真空搬送ロボット112がフランジ115を基部として設置されている。
真空搬送室103内に設置される真空搬送ロボット112は、図2に示すように、エレベータ116およびフランジ115によって真空搬送室103の気密性を維持しつつ昇降できるように構成されている。
(予備室)
筐体101の六枚の側壁のうち前側に位置する二枚の側壁には、搬入用の予備室(ロードロックモジュール)122と、搬出用の予備室(ロードロックモジュール)123とがそれぞれゲートバルブ160,165を介して連結されており、それぞれ負圧に耐え得る構造に構成されている。
さらに、予備室122内には搬入室用の基板載置台150が設置され、予備室123内には搬出室用の基板載置台151が設置されている。
(大気搬送室・IOステージ)
予備室122および予備室123の前側には、大気搬送室(フロントエンドモジュール)121がゲートバルブ128,129を介して連結されている。大気搬送室121は略大気圧下で用いられる。
大気搬送室121内にはウェハ200を移載する大気搬送ロボット124が設置されている。図2に示されているように、大気搬送ロボット124は大気搬送室121に設置されたエレベータ126によって昇降されるように構成されているとともに、リニアアクチュエータ132によって左右方向に往復移動されるように構成されている。
図2に示されているように、大気搬送室121の上部にはクリーンエアを供給するクリーンユニット118が設置されている。また、図1に示されているように、大気搬送室121の左側にはウェハ200に形成されているノッチまたはオリエンテーションフラットを合わせる装置(以下、プリアライナという)106が設置されている。
図1および図2に示されているように、大気搬送室121の筐体125の前側には、ウェハ200を大気搬送室121に対して搬入搬出するための基板搬入搬出口134と、ポッドオープナ108とが設置されている。基板搬入搬出口134を挟んでポッドオープナ108と反対側、すなわち筐体125の外側にはIOステージ(ロードポート)105が設置されている。
ポッドオープナ108はポッド100のキャップ100aを開閉すると共に、基板搬入搬出口134を閉塞可能なクロージャ142とクロージャ142を駆動する駆動機構109とを備えている。ポッドオープナ108は、IOステージ105に載置されたポッド100のキャップ100aを開閉し、基板出し入れ口を開放・閉鎖することにより、ポッド100に対するウェハ200の出し入れを可能とする。ポッド100は図示しない工程内搬送装置(RGV)によって、IOステージ105に対して、供給および排出される。
(処理室)
図1に示されているように、筐体101の六枚の側壁のうち後側(背面側)に位置する二枚の側壁には、ウェハ200に所望の処理を行う第二処理室(プロセスモジュール)201bと第三処理室(プロセスモジュール)201cとが、ゲートバルブ161b,161cを介してそれぞれ隣接して連結されている。第二処理室201bおよび第三処理室201cはいずれもコールドウォール式の処理容器203b,203cによって構成されている。
筐体101における六枚の側壁のうちの残りの互いに対向する二枚の側壁には、第一処理室(プロセスモジュール)201aと、第四処理室(プロセスモジュール)201dとが、ゲートバルブ161a,161dを介してそれぞれ連結されている。第一処理室201aおよび第四処理室201dもいずれもコールドウォール式の処理容器203a,203dによって構成されている。各処理室では、半導体や半導体装置の製造工程の一工程である、酸化処理、窒化処理、エッチング処理等が行われる。各処理室201a〜201dの詳細な構成については後述する。
(制御部)
図1,2に示すように、制御部としてのコントローラ281は、例えば、信号線Aを通じて真空搬送ロボット112と、信号線Bを通じて大気搬送ロボット124と、信号線Cを通じてゲートバルブ160,161a,161b,161c,161d,165,128,129と、信号線Dを通じてポッドオープナ108と、信号線Eを通じてプリアライナ106と、信号線Fを通じてクリーンユニット118と、それぞれ電気的に接続され、更にこれら基板処理装置を構成する各部の動作を制御する。
コントローラ281は、表示装置281a、演算装置281b、操作部281c、記憶装置281d及びデータ入力部281eが図3に示すように接続された構成となっている。また、データ入力部281eを介して、コントローラ281はネットワーク281hに接続されている。また、記憶装置281dは、内部記録媒体281fを有している。
つまり、コントローラ281は、コンピュータとしての構成部分を有し、演算装置281bが、記憶装置281dの内部記録媒体281fに記憶されたプログラムを実行することにより、操作部281c、表示装置281a等を制御する。
なお、内部記録媒体281fの代わりに、外部記録媒体281gをデータ入力部281eに接続して設けてもよく、また、内部記録媒体281fと外部記録媒体281gの両方を用いてもよい。また、プログラムは、初めから記憶装置281d内に設けられた内部記録媒体281fに記録されていてもよく、後にデータ入力部281eに接続された外部記録媒体281gに記録されたプログラムを内部記録媒体281fに移動させ内部記録媒体281fのプログラムを上書きしてもよい。ここで、内部記録媒体281fとして、例えば、ハードディスク、CD−ROM、フラッシュメモリ等が用いられる。また、外部記録媒体281gとして、例えば、フロッピー(登録商標)ディスク、CD−ROM,MO,フラッシュメモリ、又は半導体装置製造工場内のネットワークやインターネット回線からのダウンロード等が用いられる。
(2)処理室の構成
次に、本発明の一実施形態に係る処理室201aの構成及び動作について図4を用いて説明する。
図4は、それぞれが同様の構成を有する各処理室201a〜201dのうち、第一処理室201aを含むMMT装置の断面構成図である。MMT装置とは、電界と磁界とにより高密度プラズマを発生させる変形マグネトロン型プラズマ源(Modified Magnetron Typed Plasma Source)を用い、例えばシリコン基板等のウェハ200を処理する装置である。以下、第一処理室201aおよびその周辺構成例について説明をするが、他の処理室201b〜201dにおいても同様の構成例とすることができる。
MMT装置は、ウェハ200をプラズマ処理する処理炉202を備えている。そして、処理炉202は、第一処理室201aを構成する処理容器203aと、サセプタ217と、ゲートバルブ161aと、シャワーヘッド236と、ガス排気口235と、筒状電極である第一の電極215と、上部磁石216aと、下部磁石216bと、コントローラ281と、を備えている。
(処理室)
第一処理室201aを構成する処理容器203aは、第一の容器であるドーム型の上側容器210と、第二の容器である碗型の下部容器211と、を備えている。そして、上側容器210が下側容器211の上に被せられることにより第一処理室201aが形成される。上側容器210は例えば酸化アルミニウム(Al23)又は石英(SiO2)等の非金属材料で形成されており、下側容器211は例えばアルミニウム(Al)で形成されている。
下側容器211の側壁には、仕切弁としてのゲートバルブ161aが設けられている。ゲートバルブ161aが開いている時には、上述の真空搬送ロボット112を用いて第一処理室201a内へウェハ200を搬入し、または第一処理室201a外側へとウェハ200を搬出することができるようになっている。ゲートバルブ161aを閉めることにより、第一処理室201a内を気密に閉塞することができるようになっている。
(基板支持部)
第一処理室201a内の底側中央には、ウェハ200を支持する基板載置台としてのサセプタ217が配置されている。サセプタ217は、ウェハ200上に形成された膜等への金属汚染を低減することが出来るように、例えば、窒化アルミニウム(AlN)、セラミックス、石英等の非金属材料で形成されている。
サセプタ217の内部には、加熱機構としての抵抗加熱ヒータ217bが一体的に埋め込まれておりウェハ200を加熱できるようになっている。抵抗加熱ヒータ217bに電力が供給されると、ウェハ200表面を例えば室温以上であって、好ましくは200℃〜700℃程度、或いは750℃程度にまで加熱できるようになっている。
サセプタ217は、下側容器211とは電気的に絶縁されている。サセプタ217の内部には、インピーダンスを変化させる電極としての第二の電極217cが装備されている。この第二の電極217cは、インピーダンス可変機構274を介して接地されている。インピーダンス可変機構274は、コイルや可変コンデンサを備えており、コイルのパターン数や可変コンデンサの容量値を制御することにより、第二の電極217c及びサセプタ217を介してウェハ200の電位を制御できるようになっている。
サセプタ217には、サセプタ217を昇降させるサセプタ昇降機構268が設けられている。サセプタ217には、貫通孔217aが設けられている。上述の下側容器211底面には、ウェハ200を突き上げる基板突き上げピン266が少なくとも3箇所設けられている。そして、貫通孔217a及び基板突き上げピン266はサセプタ昇降機構268によりサセプタ217が下降させられた時に基板突き上げピン266がサセプタ217とは非接触な状態で貫通孔217aを突き抜けるように、互いに配置されている。
主に、サセプタ217及び抵抗加熱ヒータ217bにより、本実施形態に係る基板支持部が構成されている。
(ランプ加熱装置)
処理容器203aの上面には光透過性窓部278が配設されている。この光透過性窓部278に対応する処理容器203a外側に、例えば赤外光を発する光源となる基板加熱体としてのランプ加熱装置(ランプヒータ)280が設けられている。ランプ加熱装置280は、700℃を超える温度にウェハ200を加熱可能なように構成されている。上限温度を例えば700℃程度とする上述の抵抗加熱ヒータ217bに対し、ランプ加熱装置280は、700℃を超える加熱処理をウェハ200に対して行う場合などの補助ヒータとして用いられる。
(ガス供給部)
第一処理室201aの上部には、第一処理室201a内へ反応ガス等の処理ガスを供給するシャワーヘッド236が設けられている。シャワーヘッド236は、キャップ状の蓋体233と、ガス導入口234と、バッファ室237と、開口238と、遮蔽プレート(シャワープレート)240と、ガス吹出口239とを備えている。
ガス導入口234には、バッファ室237内へ処理ガスを供給するガス供給管232の下流端が、封止部材としてのOリング213b及び開閉弁であるバルブ243aを介して接続されている。バッファ室237は、ガス導入口234より導入されるガスを分散する分散空間として機能する。
ガス供給管232の上流側には、窒素原子含有ガスとしての窒素(N2)ガスを供給する窒素ガス供給管232aの下流端と、水素原子含有ガスとして水素(H2)ガスを供給する水素ガス供給管232bの下流端と、例えばヘリウム(He)ガス、アルゴン(Ar)ガス等の希釈ガスとしての希ガスを供給する希ガス供給管232cの下流端と、が合流するように接続されている。
窒素ガス供給管232aには、上流側から順に窒素ガスボンベ250a、流量制御装置としてのマスフローコントローラ251a、開閉弁であるバルブ252aが接続されている。水素ガス供給管232bには、上流側から順に水素ガスボンベ250b、流量制御装置としてのマスフローコントローラ251b、開閉弁であるバルブ252bが接続されている。希ガス供給管232cには、上流側から順に希ガスボンベ250c、流量制御装置としてのマスフローコントローラ251c、開閉弁であるバルブ252cが接続されている。
ガス供給管232、窒素ガス供給管232a、水素ガス供給管232b、希ガス供給管232cは、例えば石英、酸化アルミニウム等の非金属材料及びステンレス(SUS)等の金属材料等により構成されている。これらに設けられたバルブ252a〜252cを開閉させることにより、マスフローコントローラ251a〜251cにより流量制御しながら、バッファ室237を介して第一処理室201a内にN2ガス、H2ガス、希ガスを自在に供給できるように構成されている。
主に、ガス供給管232、窒素ガス供給管232a、水素ガス供給管232b、希ガス供給管232c、窒素ガスボンベ250a、水素ガスボンベ250b、希ガスボンベ250c、マスフローコントローラ251a〜251c、及びバルブ252a〜252cにより、本実施形態に係るガス供給部が構成される。
なお、ここではN2ガス、H2ガス、希ガス等のガスボンベを設ける場合について説明したが、本発明は係る形態に限定されるものではなく、窒素ガスボンベ250a、水素ガスボンベ250bに代えて、酸素(O2)ガスボンベを設けてもよい。また、第一処理室201a内に供給する反応ガス中の窒素の割合を多くする場合には、アンモニア(NH3)ガスボンベを更に設け、N2ガスにNH3ガスを添加してもよい。
(ガス排気部)
下側容器211の側壁下方には、第一処理室201a内から反応ガス等を排気するガス排気口235が設けられている。ガス排気口235には、ガスを排気するガス排気管231の上流端が接続されている。ガス排気管231には、上流側から順に圧力調整器であるAPC242、開閉弁であるバルブ243b、排気装置である真空ポンプ246が設けられている。真空ポンプ246を作動させ、バルブ243bを開けることにより、第一処理室201a内を排気することが可能なように構成されている。また、APC242の開度を調整することにより、第一処理室201a内の圧力値を調整できるように構成されている。
主に、ガス排気口235、ガス排気管231、APC242、バルブ243b、真空ポンプ246により、本実施形態に係るガス排気部が構成されている。
(プラズマ生成部)
処理容器203a(上側容器210)の外周には、第一処理室201a内のプラズマ生成領域224を囲うように、第一の電極215が設けられている。第一の電極215は、筒状、例えば円筒状に形成されている。第一の電極215は、インピーダンスの整合を行う整合器272を介して、高周波電力を発生する高周波電源273に接続されている。第一の電極215は、第一処理室201a内に供給されるガスを励起させてプラズマを発生させる放電機構として機能する。
第一の電極215の外側表面の上下端部には、上部磁石216a及び下部磁石216bがそれぞれ取り付けられている。上部磁石216a及び下部磁石216bは、それぞれ筒状、例えばリング状に形成された永久磁石として構成されている。
上部磁石216a及び下部磁石216bは、第一処理室201aの半径方向に沿った両端(すなわち、各磁石の内周端と外周端)にそれぞれ磁極を有している。上部磁石216a及び下部磁石216bの磁極の向きは、互いに逆向きになるよう配置されている。すなわち、上部磁石216a及び下部磁石216bの内周部の磁極同士は異極となっている。これにより、第一の電極215の内側表面に沿って、円筒軸方向の磁力線が形成されている。
上部磁石216a及び下部磁石216bを用いて磁界を形成するとともに、第一処理室201a内に例えばN2ガスとH2ガスとの混合ガスを導入した後、第一の電極215に高周波電力を供給して電界を形成することにより、第一処理室201a内にマグネトロン放電プラズマが生成される。この際、放出された電子を上述の電磁界が周回運動させることにより、プラズマの電離生成率が高まり、長寿命の高密度プラズマを生成させることができる。
主に、第一の電極215、整合器272、高周波電源273、上部磁石216a、下部磁石216bにより、本実施形態に係るプラズマ生成部が構成されている。
なお、第一の電極215、上部磁石216a、及び下部磁石216bの周囲には、これらが形成する電磁界が外部環境や他処理炉等の装置に悪影響を及ぼさないように、電磁界を有効に遮蔽する金属製の遮蔽板223が設けられている。
(制御部)
また、制御部としてのコントローラ281は、信号線Gを通じてAPC242、バルブ243b、及び真空ポンプ246と、信号線Hを通じてサセプタ昇降機構268と、信号線Iを通じてゲートバルブ161aと、信号線Jを通じて整合器272、及び高周波電源273と、信号線Kを通じてマスフローコントローラ251a〜251c、バルブ252a〜252cと、さらに図示しない信号線を通じてサセプタ217に埋め込まれた抵抗加熱ヒータ217bやインピーダンス可変機構274等と電気的に接続され、それぞれ制御するように構成されている。
(3)基板処理工程
以下、前記構成をもつ基板処理装置を使用して、半導体装置(デバイス)の製造工程の一工程としてウェハ200を処理する処理工程、具体的にはプラズマを用いた加熱処理工程について、図1乃至図4を参照しながら説明する。なお、以下の説明において、基板処理装置を構成する各部の動作はコントローラ281により制御される。
(大気搬送室側からの搬送工程)
例えば25枚の未処理のウェハ200がポッド100に収納された状態で、加熱処理工程を実施する基板処理装置へ工程内搬送装置によって搬送されて来る。図1及び図2に示されているように、搬送されて来たポッド100はIOステージ105の上に工程内搬送装置から受け渡されて載置される。ポッド100のキャップ100aがポッドオープナ108によって取り外され、ポッド100の基板出し入れ口が開放される。
ポッド100がポッドオープナ108により開放されると、大気搬送室121に設置された大気搬送ロボット124はポッド100からウェハ200をピックアップして予備室122内に搬入し、ウェハ200を基板載置台150に移載する。この移載作業中には、予備室122の真空搬送室103側のゲートバルブ160は閉じられており、真空搬送室103内の負圧は維持されている。
ポッド100に収納された所定枚数、例えば25枚のウェハ200の基板載置台150への移載が完了すると、ゲートバルブ128が閉じられ、予備室122内が排気装置(図示せず)によって負圧に排気される。
予備室122内が予め設定された圧力値となると、ゲートバルブ160が開かれ、予備室122と真空搬送室103とが連通される。
続いて、真空搬送ロボット112は予備室122内から真空搬送室103内へウェハ200を搬入する。真空搬送室103内へとウェハ200が搬入され、ゲートバルブ160が閉じられるのと同時に、又は連続して例えばゲートバルブ161aが開かれて、真空搬送室103と第一処理室201aとが連通される。
ここで、ウェハ200の第一処理室201a内への搬入、加熱処理を伴う基板処理、ウェハ200の第一処理室201a内からの搬出のそれぞれの動作について、処理室201aを含む図4を用いて説明する。
(搬入工程)
まず、真空搬送ロボット112は、ウェハ200を真空搬送室103内から第一処理室201a内へ搬入して、第一処理室201a内のサセプタ217上に移載する。具体的には、最初、サセプタ217は下降しており、基板突き上げピン266の先端がサセプタ217の貫通孔217aを通してサセプタ217の表面より所定の高さ分だけ突き出た状態にある。この状態で、上記のように、下側容器211に設けられたゲートバルブ161aを開く。次に、真空搬送ロボット112が支持しているウェハ200を基板突き上げピン266の先端に載置する。その後、真空搬送ロボット112を処理室201a外へ退避させる。次に、ゲートバルブ161aを閉め、サセプタ217をサセプタ昇降機構268により上昇させる。その結果、ウェハ200がサセプタ217表面に載置される。サセプタ217上に載置されたウェハ200を、さらにウェハ200を処理する位置まで上昇させる。
上記のようにゲートバルブ161aが閉じられた後、以下の手順にしたがって、第一処理室201a内で所望の加熱処理を伴う基板処理が施される。
(昇温・圧力調整工程)
サセプタ217に埋め込まれた抵抗加熱ヒータ217bは予め加熱されている。ウェハ200は、抵抗加熱ヒータ217bによって、例えば室温から700℃の範囲内で基板処理温度に加熱される。真空ポンプ246及びAPCバルブ242を用いて処理室201a内の圧力を例えば0.1Pa〜300Paの範囲内に維持する。
なお、上述したように、上記構成の処理炉202では、サセプタ217に埋めこまれている抵抗加熱ヒータ217bによってウェハ200を加熱できる温度は、高々700℃程度である。このため、抵抗加熱ヒータ217bだけでは、700℃を超える処理温度を必要とする基板処理は困難である。
そこで、700℃を超える処理温度を必要とする基板処理が可能となるように、上述のように、抵抗加熱ヒータ217bの他に、さらに赤外光を発する光源となる基板加熱体としてのランプ加熱装置(ランプヒータ)280を処理炉202に加えるようにしている。昇温・圧力調整工程では、必要に応じて係るランプ加熱装置280を補助的に用い、700℃を超える基板処理温度にウェハ200を加熱する。
(加熱処理工程)
ウェハ200を基板処理温度に昇温した後、ウェハ200を所定温度に保ちつつ加熱処理を伴う以下の基板処理を行う。すなわち、ガス導入口234からシャワープレート240の開口238を介して、酸化、窒化、成膜、エッチング等の所望の処理に応じた処理ガスを、処理室201a内に配置されているウェハ200の表面(処理面)に向けてシャワー状に供給する。同時に第一の電極215に高周波電源273から整合器272を介して高周波電力を供給する。供給する電力は、例えば100W〜1000Wの範囲内とし、例えば800Wである。なお、インピーダンス可変機構274は予め所望のインピーダンス値に設定されている。
筒状の上部・下部磁石216a,216bの磁界によってマグネトロン放電が発生し、ウェハ200の上方空間に電荷がトラップされてプラズマ生成領域224に高密度プラズマが生成される。この高密度プラズマによって、サセプタ217上のウェハ200の表面に酸化膜や窒化膜を形成したり、薄膜を形成したり、エッチングしたりする等のプラズマ処理が施される。
なお、コントローラ281は、高周波電源273の電力ON・OFF、整合器272の調整、バルブ252a〜252c,243aの開閉、マスフローコントローラ251a〜251cの流量、APCバルブ242の弁開度、バルブ243bの開閉、真空ポンプ246の起動・停止、サセプタ昇降機構268の昇降動作、ゲートバルブ161aの開閉、サセプタ217に埋め込まれた抵抗加熱ヒータ217bに高周波等の電力を供給する高周波電源のON・OFFを制御している。
(搬出工程)
第一処理室201a内での処理が終わったウェハ200は、搬送手段によって、ウェハ200の冷却が終わらないうちに、つまり、ウェハ200が基板処理温度に比較的近い温度を保ったままの状態のうちに、ウェハ200の搬入と逆の動作で第一処理室201a外へ搬送される。すなわち、ウェハ200に対する基板処理が完了すると、ゲートバルブ161aが開かれる。また、ウェハ200を搬送する位置までサセプタ217が下降され、基板突き上げピン266の先端がサセプタ217の貫通孔217aから突き出ることにより、ウェハ200が持ち上げられる。処理済みのウェハ200は、真空搬送ロボット112によって真空搬送室103内に搬出される。搬出後、ゲートバルブ161aは閉じられる。
以上、第一処理室201a内へのウェハ200の搬入、加熱処理を伴う基板処理、第一処理室201a内からのウェハ200の搬出、のそれぞれの動作が終了する。
真空搬送ロボット112は第一処理室201aから搬出した処理済のウェハ200を予備室123内へ搬送する。予備室123内の基板載置台151へとウェハ200が移載された後に、予備室123はゲートバルブ165によって閉じられる。
以上の動作が繰り返されることにより、予備室122内に搬入された所定枚数、例えば25枚のウェハ200が順次処理されていく。
(大気搬送室側への搬送工程)
予備室122内に搬入された全てのウェハ200に対する基板処理が終了し、全ての処理済のウェハ200が予備室123内に収納され、予備室123がゲートバルブ165によって閉じられると、予備室123内が不活性ガスにより略大気圧に戻される。予備室123内が略大気圧に戻されると、ゲートバルブ129が開かれ、IOステージ105に載置された空のポッド100のキャップ100aがポッドオープナ108によって開かれる。
続いて、大気搬送室121の大気搬送ロボット124は、予備室123内の基板載置台151からウェハ200をピックアップして大気搬送室121内に搬出し、大気搬送室121の基板搬入搬出口134を通してポッド100に収納していく。例えば25枚の処理済のウェハ200のポッド100への収納が完了すると、ポッド100のキャップ100aがポッドオープナ108によって閉じられる。閉じられたポッド100はIOステージ105の上から次の工程へ工程内搬送装置によって搬送されていく。
以上の動作は第一処理室201aが使用される場合を例にして説明したが、第二処理室201b、第三処理室201cおよび第四処理室201dが使用される場合についても同様の動作が実施される。また、前述の基板処理装置では、予備室122を搬入用、予備室123を搬出用としたが、予備室123を搬入用、予備室122を搬出用としてもよい。
また、第一処理室201a内、第二処理室201b内、第三処理室201c内、第四処理室201d内では、それぞれ同じ処理を行ってもよいし、別の処理を行ってもよい。第一処理室201a内、第二処理室201b内、第三処理室201c内、第四処理室201d内で別の処理を行う場合、例えば第一処理室201a内でウェハ200にある処理を行った後、続けて第二処理室201b内で別の処理を行わせてもよい。また、第一処理室201a内でウェハ200に処理を行った後に、第二処理室201b内で別の処理を行い、その後、第三処理室201c内や第四処理室201d内で、更に別の処理を行わせるようにしてもよい。また、第一処理室201aでウェハ200にある処理を行った後、第二処理室201bで別の処理を行わせる場合、予備室122または予備室123を経由するようにしてもよい。
また、装置で処理されるウェハ200の枚数は、一枚でもよく、複数枚でもよい。同様に、予備室122または予備室123に保管されるウェハについても一枚でもよく、複数枚でもよい。
また、予備室122内で処理済みのウェハ200を搬入して冷却を行っている途中で予備室122のゲートバルブ160を開閉し処理室にウェハを搬入し、ウェハの処理を行ってもよい。同様に、予備室123内で処理みのウェハ200を搬入して冷却を行っている途中で予備室123のゲートバルブを開閉し処理室にウェハを搬入し、ウェハの処理を行ってもよい。ここで、十分な冷却時間を経ずに略大気側のゲートバルブを開くと、ウェハ200の輻射熱によって予備室122、予備室123または予備室の周りに接続されている電気部品に損害を与える可能性がある。そのため、高温なウェハを冷却する場合は、予備室122内に処理済みの大きな輻射熱を持つウェハを搬入して冷却を行っている途中で、予備室123のゲートバルブを開閉し、処理室にウェハを搬入し、ウェハの処理を行うことができる。同様に、予備室123内に処理済みのウェハを搬入して冷却を行っている途中で、予備室122のゲートバルブを開閉し、処理室にウェハを搬入し、ウェハの処理を行うこともできる。
次に、本発明の実施形態に係るゲートバルブ開閉シーケンスについて詳述する。
図5は、本発明の一実施形態に係るゲートバルブ開閉シーケンスを示す図である。
本実施形態に係るシーケンスによれば、例えば、真空搬送ロボット112が予備室122から未処理のウェハ200を搬出する。搬出後、真空搬送ロボット112の旋回動作中に搬送元のゲートバルブ160を閉めるのと同時に、搬送先のゲートバルブ161aを開く。そして、処理済のウェハ200を第一の処理室201a内から搬出し、未処理のウェハ200が第一処理室201a内に搬入されて、第一処理室201a内で所望の処理が施される。処理が施されたウェハ200は、真空搬送ロボット112の旋回動作中に搬送元のゲートバルブ161aが閉じるのと同時に搬送先のゲートバルブ165を開く。ここで、図5で示されているように、搬送元のゲートバルブと搬送先のゲートバルブの開閉時間が真空搬送ロボット112の旋回時間以下であれば、真空搬送ロボット112の停止時間はなく、搬送効率がよい。
また、搬送元のゲートバルブと搬送先のゲートバルブの開閉時間が真空搬送ロボット112の旋回時間よりも大きい場合であっても、真空搬送ロボット112の停止時間は最小となる。
次に、他の実施形態に係るゲートバルブ開閉シーケンスについて説明する。
図6は、本発明の他の実施形態に係るゲートバルブ開閉シーケンスを示す図である。
本実施形態に係るシーケンスによれば、例えば、予備室122から未処理のウェハ200を搬出し、真空搬送ロボット112の旋回動作中に搬送元のゲートバルブ160を閉め、連続的に搬送先のゲートバルブ161aを開く。そして、処理済のウェハ200を搬出するとともに、未処理のウェハ200が第一処理室201a内に搬入されて、第一処理室201a内で所望の処理が施される。処理が施されたウェハ200は、第一処理室201aから搬出され、真空搬送ロボット112の旋回動作中に搬送元のゲートバルブ161aを閉め、連続的に搬送先のゲートバルブ165を開く。ここで、図6で示されているように、搬送元のゲートバルブと搬送先のゲートバルブの開閉時間の合計が真空搬送ロボット112の旋回時間よりも大きい場合であっても、真空搬送ロボット112の停止時間(図6における斜線部)は、後述する比較例に係るゲートバルブ開閉シーケンスにおける停止時間(後述する図7における斜線部)より少なくて効率がよい。また、処理室からのパーティクルの発生も抑制される。
また、搬送元のゲートバルブと搬送先のゲートバルブの開閉時間の合計が真空搬送ロボット112の旋回時間以下であれば、真空搬送ロボット112の無駄時間は発生せず、搬送効率がよい。
次に、比較例に係るゲートバルブ開閉シーケンスについて説明する。
図7は、本発明の比較例に係るゲートバルブ開閉シーケンスを示す図である。
比較例に係るシーケンスでは、例えば、予備室122から未処理のウェハを搬出し、ゲートバルブ160を閉じるのと同時に真空搬送ロボット112を旋回させるが、搬送先のゲートバルブ161aに向けて旋回した後、搬送元のゲートバルブ160が閉じたことを確認して、搬送先のゲートバルブ161aを開く。そして、処理済のウェハ200を搬出すると共に、未処理のウェハ200が第一処理室201a内に搬入されて、第一処理室201a内で所望の処理が施される。処理が施されたウェハ200は、第一処理室201aから搬出され、搬送元のゲートバルブ161aが閉じられ、真空搬送ロボット112が搬出先のゲートバルブ165に向けて旋回した後、搬送元のゲートバルブ161aが閉じたことを確認して、搬送先のゲートバルブ165を開く。
上述の比較例に係るシーケンスでは、真空搬送ロボット112が停止している時間(図7における斜線部)が長く、スループットを低下させてしまう。
すなわち、上述の実施形態によれば、基板搬送部としての真空搬送ロボット112の搬送動作中に搬送元のゲートバルブと搬送先のゲートバルブを同時に又は連続的に開閉させることにより、真空搬送ロボット112がゲートバルブの開閉を待つ時間を短縮させることができる。
また、搬送元のゲートバルブと搬送先のゲートバルブを連続的に開閉させることで、複数のゲートバルブが同時に開くことによる不具合(コンタミネーションや、圧力差によるバルブの故障)を防ぎながら、搬送効率を向上させることができる。
また、搬送先のゲートバルブを開く条件が整わない場合には、条件が整い次第開き、シーケンスを開始するとよい。
また、処理室のプロセス内容により、ゲートバルブ同時開閉またはゲートバルブ連続開閉を選択できるようにするとよい。
また、真空搬送ロボット112の旋回動作中に搬送元のゲートバルブと搬送先のゲートバルブの全開のタイミングを重なるようにするとよい。これにより、ウェハの移載時間を短縮させることができる。
以上、本実施形態によれば、装置の設計変更なしに、単位時間当たりの基板処理枚数を増やして基板処理装置の製造スループットを向上させることができる。
また更に、上述の実施形態の様にゲートバルブの開閉を制御することにより、真空搬送ロボット112の加熱を低減できることを見出した。例えば、処理室203bから予備室123へ加熱されたウェハを搬送する際に、処理室のゲートバルブ161bと予備室のゲートバルブ165を開放させたタイミングを設けることで、加熱された処理室203bと真空搬送室103と予備室123が接続された状態になる。このようにすることで、加熱された処理室203bや加熱されたウェハ200からの輻射熱や、真空搬送ロボット103で反射された熱を吸収する面積を増やすことができる。ここで輻射熱を吸収する面積は、真空搬送室103の内壁面積と予備室123の内壁面積との合計面積となる。このように吸収させる面積を増やすことにより、真空搬送ロボット103の加熱を低減させることができ、加熱されたウェハの連続搬送枚数を増やすことができる。
なお、本発明の実施形態を具体的に説明したが、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
<本発明の好ましい態様>
以下に、本発明の好ましい態様について付記する。
<付記1>
本発明の一態様は、
処理される基板と、
真空雰囲気で前記基板を搬送する搬送室と、
前記搬送室に設けられ前記基板を搬送する基板搬送部と、
前記搬送室に隣接して少なくとも2つ設けられ前記基板を処理する処理室と、
前記搬送室と前記処理室との間にそれぞれ設けられたゲートバルブと、
前記基板搬送部と前記ゲートバルブとを制御する制御部と、を有する基板処理装置であって、
前記制御部は、前記基板搬送部が前記基板を搬送動作中に、前記少なくとも2つのゲートバルブを開閉するよう制御する基板処理装置である。
<付記2>
好ましくは、
前記制御部は、前記基板搬送部の旋回動作中に一方のゲートバルブの開閉と他方のゲートバルブの開閉を連続して実行するように制御する。
<付記3>
また、好ましくは、
前記制御部は、前記基板搬送部の旋回動作中に前記少なくとも2つのゲートバルブの全開のタイミングを重なるように制御する。
<付記4>
本発明の他の態様は、
基板の搬送空間となる搬送室に設けられた基板搬送部が、前記搬送室内で旋回動作をする工程と、
前記搬送室に隣接して少なくとも2つ設けられ、基板の処理空間となる処理室で基板を処理する工程と、
制御部が前記基板搬送部の旋回動作中に前記搬送室と前記処理室との間にそれぞれ設けられた少なくとも2つのゲートバルブを開閉する工程と、
を有する半導体装置の製造方法である。
<付記5>
また、好ましくは、
前記基板搬送部の旋回動作中に一方のゲートバルブの開閉に連続して他方のゲートバルブを開閉する、付記4に記載の半導体装置の製造方法である。
<付記6>
また、好ましくは、
前記基板搬送部の旋回動作中に前記少なくとも2つのゲートバルブの全開のタイミングが重なる、付記4に記載の半導体装置の製造方法である。
<付記7>
本発明の他の態様は、
基板の搬送空間となる搬送室に設けられた基板搬送部が、前記搬送室内で旋回動作をする工程と、
前記搬送室に隣接して少なくとも2つ設けられ、基板の処理空間となる処理室で基板を処理する工程と、
制御部が前記基板搬送部の旋回動作中に前記搬送室と前記処理室との間にそれぞれ設けられた少なくとも2つのゲートバルブを開閉する工程と、
を有する基板処理方法である。
<付記8>
本発明の他の態様は、
付記4に記載された半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体である。
<付記9>
本発明の他の態様は、
付記5に記載された半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体である。
<付記10>
本発明の他の態様は、
付記6に記載された半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体である。
<付記11>
本発明の他の態様は、
処理される基板と、
真空雰囲気で前記基板を搬送する搬送室と、
前記搬送室に設けられ前記基板を搬送する基板搬送部と、
前記搬送室に隣接して少なくとも2つ設けられ前記基板を処理する処理室と、
前記搬送室と前記処理室との間にそれぞれ設けられたゲートバルブと、
前記基板搬送部と前記ゲートバルブとを制御する制御部と、を有する基板処理装置を用いた半導体装置の製造方法であって、
前記制御部は、前記基板搬送部が前記基板を搬送動作中に、前記少なくとも2つのゲートバルブを開閉するよう制御する半導体装置の製造方法である。
103 真空搬送室
112 真空搬送ロボット(基板搬送部)
121 大気搬送室
122,123 予備室
124 大気搬送ロボット
128,129 ゲートバルブ
160,161a〜161d,165 ゲートバルブ
200 ウェハ(基板)
201a 第一処理室
201b 第二処理室
201c 第三処理室
201d 第四処理室
281 コントローラ

Claims (6)

  1. 処理される基板と、
    真空雰囲気で前記基板を搬送する搬送室と、
    前記搬送室に設けられ前記基板を搬送する基板搬送部と、
    前記搬送室に隣接して少なくとも2つ設けられ前記基板を処理する処理室と、
    前記搬送室と前記処理室との間にそれぞれ設けられたゲートバルブと、
    前記基板搬送部と前記ゲートバルブとを制御する制御部と、を有する基板処理装置であって、
    前記制御部は、前記基板搬送部が前記基板を搬送動作中に、前記少なくとも2つのゲートバルブを開閉するよう制御する基板処理装置。
  2. 前記制御部は、前記基板搬送部の旋回動作中に一方のゲートバルブの開閉と他方のゲートバルブの開閉を連続して実行するように制御する請求項1記載の基板処理装置。
  3. 前記制御部は、前記基板搬送部の旋回動作中に前記少なくとも2つのゲートバルブの全開のタイミングを重なるように制御する請求項1記載の基板処理装置。
  4. 基板の搬送空間となる搬送室に設けられた基板搬送部が、前記搬送室内で旋回動作をする工程と、
    前記搬送室に隣接して少なくとも2つ設けられ、基板の処理空間となる処理室で基板を処理する工程と、
    制御部が前記基板搬送部の旋回動作中に前記搬送室と前記処理室との間にそれぞれ設けられた少なくとも2つのゲートバルブを開閉する工程と、
    を有する基板処理方法。
  5. 基板の搬送空間となる搬送室に設けられた基板搬送部が、前記搬送室内で旋回動作をする工程と、
    前記搬送室に隣接して少なくとも2つ設けられ、基板の処理空間となる処理室で基板を処理する工程と、
    制御部が前記基板搬送部の旋回動作中に前記搬送室と前記処理室との間にそれぞれ設けられた少なくとも2つのゲートバルブを開閉する工程と、
    を有する半導体装置の製造方法。
  6. 基板の搬送空間となる搬送室に設けられた基板搬送部が、前記搬送室内で旋回動作をする工程と、
    前記搬送室に隣接して少なくとも2つ設けられ、基板の処理空間となる処理室で基板を処理する工程と、
    制御部が前記基板搬送部の旋回動作中に前記搬送室と前記処理室との間にそれぞれ設けられた少なくとも2つのゲートバルブを開閉する工程と、
    を有する半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。
JP2012061471A 2012-03-19 2012-03-19 基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。 Pending JP2013197232A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2012061471A JP2013197232A (ja) 2012-03-19 2012-03-19 基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。
US13/804,833 US20130243550A1 (en) 2012-03-19 2013-03-14 Substrate Processing Apparatus, Method of Processing Substrate, Method of Manufacturing Semiconductor Device and Non Transitory Computer Readable Recording Medium on which Program for Performing Method of Manufacturing Semiconductor Device is Recorded
US15/181,710 US20160284581A1 (en) 2012-03-19 2016-06-14 Method of Manufacturing Semiconductor Device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012061471A JP2013197232A (ja) 2012-03-19 2012-03-19 基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。

Publications (2)

Publication Number Publication Date
JP2013197232A true JP2013197232A (ja) 2013-09-30
JP2013197232A5 JP2013197232A5 (ja) 2015-04-16

Family

ID=49157804

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012061471A Pending JP2013197232A (ja) 2012-03-19 2012-03-19 基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。

Country Status (2)

Country Link
US (2) US20130243550A1 (ja)
JP (1) JP2013197232A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115216749A (zh) * 2021-04-16 2022-10-21 上海新微技术研发中心有限公司 一种基片处理系统及其控制方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9991139B2 (en) * 2012-12-03 2018-06-05 Asm Ip Holding B.V. Modular vertical furnace processing system
JP6084479B2 (ja) * 2013-02-18 2017-02-22 株式会社Screenホールディングス 熱処理方法、熱処理装置およびサセプター
CN104810304A (zh) * 2014-01-29 2015-07-29 茂迪(苏州)新能源有限公司 一种基板分离方法及装置
JP6318139B2 (ja) * 2015-12-25 2018-04-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP7032955B2 (ja) * 2018-02-28 2022-03-09 株式会社Screenホールディングス 熱処理方法
JP6995902B2 (ja) * 2019-03-22 2022-01-17 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法並びに基板処理プログラム
CN110190023B (zh) * 2019-04-15 2021-06-15 上海华力集成电路制造有限公司 金属硬质掩模大马士革一体化刻蚀优化方法
CN113571442B (zh) * 2020-04-29 2023-09-29 长鑫存储技术有限公司 晶圆处理装置及晶圆传送方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0555148A (ja) * 1991-08-27 1993-03-05 Toshiba Mach Co Ltd マルチチヤンバ型枚葉処理方法およびその装置
JPH0934742A (ja) * 1995-07-21 1997-02-07 Fujitsu Ltd コンピュータシステムの無停止動作方法及び無停止コンピュータシステム
JP2004063741A (ja) * 2002-07-29 2004-02-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2007165644A (ja) * 2005-12-14 2007-06-28 Tokyo Electron Ltd 真空処理装置及び帯状気流形成装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JP4254116B2 (ja) * 2002-03-22 2009-04-15 東京エレクトロン株式会社 位置合わせ用基板
KR100527669B1 (ko) * 2003-12-19 2005-11-25 삼성전자주식회사 로봇 암 장치
KR100583727B1 (ko) * 2004-01-07 2006-05-25 삼성전자주식회사 기판 제조 장치 및 이에 사용되는 기판 이송 모듈
JP4961895B2 (ja) * 2006-08-25 2012-06-27 東京エレクトロン株式会社 ウェハ搬送装置、ウェハ搬送方法及び記憶媒体
JP4985031B2 (ja) * 2007-03-29 2012-07-25 東京エレクトロン株式会社 真空処理装置、真空処理装置の運転方法及び記憶媒体
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0555148A (ja) * 1991-08-27 1993-03-05 Toshiba Mach Co Ltd マルチチヤンバ型枚葉処理方法およびその装置
JPH0934742A (ja) * 1995-07-21 1997-02-07 Fujitsu Ltd コンピュータシステムの無停止動作方法及び無停止コンピュータシステム
JP2004063741A (ja) * 2002-07-29 2004-02-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2007165644A (ja) * 2005-12-14 2007-06-28 Tokyo Electron Ltd 真空処理装置及び帯状気流形成装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115216749A (zh) * 2021-04-16 2022-10-21 上海新微技术研发中心有限公司 一种基片处理系统及其控制方法

Also Published As

Publication number Publication date
US20160284581A1 (en) 2016-09-29
US20130243550A1 (en) 2013-09-19

Similar Documents

Publication Publication Date Title
JP2013197232A (ja) 基板処理装置、基板処理方法、半導体装置の製造方法及び半導体装置の製造方法を実行させるためのプログラムを記録した記録媒体。
JP6240695B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5511536B2 (ja) 基板処理装置及び半導体装置の製造方法
JP6339057B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム
JP6318139B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5885404B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2013084898A (ja) 半導体装置の製造方法及び基板処理装置
US20100227478A1 (en) Substrate processing apparatus and method of manufacturing semiconductor
JP2011061037A (ja) 基板処理装置及び半導体装置の製造方法
JP4695297B2 (ja) 薄膜形成装置及びロードロックチャンバー
TWI682425B (zh) 基板處理裝置、半導體裝置的製造方法及程式
JP2012054399A (ja) 半導体製造装置及び半導体製造方法
JP4541931B2 (ja) 半導体装置の製造方法及び半導体製造装置
JP2015511399A (ja) 基板処理モジュール及びそれを含む基板処理装置
JP6022785B2 (ja) 半導体装置の製造方法、基板処理装置、及びプログラム
JP2006278619A (ja) 半導体製造装置
JP2013042062A (ja) 基板処理装置及び半導体装置の製造方法
JP2013197475A (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
JPWO2007077718A1 (ja) 基板処理方法および基板処理装置
JP5825948B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2009224755A (ja) 半導体デバイスの製造方法及び基板処理装置
JP2009010144A (ja) 基板処理装置
JP6066571B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2007208169A (ja) 基板処理方法
JP2005045075A (ja) 基板処理方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150303

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150303

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160311

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161031

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20161109

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20161216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180119