TWI618813B - Substrate processing apparatus, manufacturing method and program of semiconductor device - Google Patents

Substrate processing apparatus, manufacturing method and program of semiconductor device Download PDF

Info

Publication number
TWI618813B
TWI618813B TW105120570A TW105120570A TWI618813B TW I618813 B TWI618813 B TW I618813B TW 105120570 A TW105120570 A TW 105120570A TW 105120570 A TW105120570 A TW 105120570A TW I618813 B TWI618813 B TW I618813B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
processing
temperature
chamber
Prior art date
Application number
TW105120570A
Other languages
English (en)
Other versions
TW201734254A (zh
Inventor
Takashi Yahata
Satoshi Takano
Kazuyuki Toyoda
Shun Matsui
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201734254A publication Critical patent/TW201734254A/zh
Application granted granted Critical
Publication of TWI618813B publication Critical patent/TWI618813B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本課題是隨著基板處理溫度的高溫化提高製程的再現性.安定性。
其解決手段係具有:處理室,其係處理基板;第1加熱部,其係設在載置基板的基板載置台,加熱基板和處理室;移載室,其係設有將基板移載至處理室的基板載置台;間隔部,其係隔開處理室與移載室;第2加熱部,其係設在比移載室的間隔部更下方側;處理氣體供給部,其係對處理室供給處理氣體;第1洗滌氣體供給部,其係對處理室供給洗滌氣體;第2洗滌氣體供給部,其係對移載室供給洗滌氣體;及控制部,其係控制第1加熱部、第2加熱部、第1洗滌氣體供給部及第2洗滌氣體供給部。

Description

基板處理裝置、半導體裝置的製造方法及程式
本案是有關基板處理裝置,半導體裝置的製造方法及程式。
作為半導體裝置(device)的製造工程的一工程,有對基板供給處理氣體及反應氣體,在基板形成膜的處理工程被進行。例如,有專利文獻1記載的技術。
[先行技術文獻] [專利文獻]
[專利文獻1]日本特開2015-183271
近年來,因基板處理空間與基板搬送空間的溫度差,非意圖的副生成物附著於未進行溫度控制的基板搬送空間的側部,有膜剝離或微粒發生的情形。
本案的目的是在於提供一種隨著基板處理溫 度的高溫化提高製程的再現性.安定性之技術。
若根據本發明之一形態,則可提供具有下列構成的技術,處理室,其係處理基板;第1加熱部,其係設在載置基板的基板載置台,加熱基板和處理室;移載室,其係設有將基板移載至處理室的基板載置台;間隔部,其係隔開處理室與移載室;第2加熱部,其係設在移載室之比間隔部更下方側;處理氣體供給部,其係對處理室供給處理氣體;第1洗滌氣體供給部,其係對處理室供給洗滌氣體;第2洗滌氣體供給部,其係對移載室供給洗滌氣體;及控制部,其係控制第1加熱部、第2加熱部、第1洗滌氣體供給部及第2洗滌氣體供給部。
若根據本案的技術,則可隨著基板處理溫度的高溫化提高製程的再現性.安定性。
10‧‧‧第1隔熱部
20‧‧‧第2隔熱部
30‧‧‧反射部
100‧‧‧腔室
110‧‧‧製程模組
200‧‧‧晶圓(基板)
201‧‧‧處理室(處理空間)
202‧‧‧處理容器
212‧‧‧基板載置台
232‧‧‧緩衝空間
234‧‧‧淋浴頭
1000‧‧‧基板處理系統
圖1是一實施形態的基板處理裝置的縱剖面的概略圖。
圖2是用以說明一實施形態的氣體供給系的圖。
圖3是一實施形態的基板處理系統的控制器的概略構成圖。
圖4是一實施形態的基板處理工程的流程圖。
圖5是一實施形態的基板處理工程的順序圖。
圖6是一實施形態的洗滌工程的流程圖。
圖7是表示一實施形態的成膜工程~洗滌工程的處理室的溫度設定例的圖。
圖8是表示一實施形態的成膜工程~洗滌工程的移載室的溫度設定例的圖。
<第1實施形態>
(1)基板處理裝置的構成說明有關第1實施形態的基板處理裝置。
說明有關本實施形態的處理裝置100。基板處理裝置100是構成為單片式基板處理裝置。在基板處理裝置進行半導體裝置的製造的一工程。
如圖1所示般,基板處理裝置100是具備處理容器202。處理容器202是例如横剖面為圓形,構成為 扁平的密閉容器。並且,處理容器202是例如藉由鋁(Al)或不鏽鋼(SUS)等的金屬材料或石英所構成。在處理容器202內是形成有處理作為基板的矽晶圓等的晶圓200之處理空間(處理室)201、搬送空間(移載室)203。處理容器202是以上部容器202a及下部容器202b所構成。在上部容器202a與下部容器202b之間是設有間隔部204。將被上部處理容器202a包圍的空間,比間隔部204更上方的空間稱為處理空間(亦稱為處理室)201,將被下部容器202b包圍的空間,比間隔部204更下方的空間稱為移載室203。
在下部容器202b的側面設有與閘閥1490鄰接的基板搬出入口1480,晶圓200是經由基板搬出入口1480來移動於與未圖示的搬送室之間。在下部容器202b的底部設有複數個昇降銷207。而且,下部容器202b是被接地。
在此,上部容器202a的構成材料之石英的膨脹係數是6×10^-7/℃,當低溫時與高溫時的溫度差△T=300℃時,有約0.05mm~0.4mm程度伸長的情形。下部容器202b的構成材料為鋁時,鋁的膨脹係數為23×10^-6/℃,低溫時與高溫時的溫度差△T=300℃程度,有約2.0mm~14mm程度伸長的情形。另外,伸長的長度△L是以△L=L×α×△T來算出。在此,L是材料的長度[mm],α是熱膨脹係數[/℃],△T[℃]是溫度差。
如此,伸長的長度(變化量)是依材料而異。因 變化量的差,會有基板載置台212與淋浴頭234的中心位置關係(XY方向的位置關係)偏離,處理均一性降低的課題。
又,有移載室203的中心位置與處理室201的中心位置之間的距離伸展,無法將晶圓200搬送至載置面211的中心之課題。又,有腔室100a的中心位置與腔室100b的中心位置之間的距離伸展,無法將晶圓200搬送至載置面211的中心之課題。
又,有因基板載置台212的垂直方向(Z方向)的延伸的長度(變化量)的差,而載置面211與分散板234b的距離變化,處理室201內的排氣傳導或從處理室201到排氣口221的排氣傳導變化,處理均一性降低的課題。
又,有被供給至處理室201的氣體流入移載室203,在移載室203內發生非意圖的反應之課題。又,有在移載室203內的構件發生藉由非意圖的反應而產生之副生成物的附著,或因氣體的反應之膜的形成,因副生成物之構件的損傷等之課題。
並且,在洗滌處理室201及移載室203時,由於未進行搬送空間(移載室)203的溫度控制,因此難以容易洗滌。例如,雖在處理室201的壁形成與被成膜於晶圓200的膜同樣特性的膜,但因為移載室203內是成為比處理室201的環境溫度更低溫,所以會被形成與處理室201不同特性的膜,需要使處理室201的洗滌條件與移載室203的洗滌條件不同。而且,由於被形成於處理室201 的壁的膜特性是與被形成於晶圓200的膜特性同樣,因此洗滌工程的最適條件的調整比較容易,但因為被形成於移載室203的膜是未被控制溫度,所以洗滌工程的最適條件的調整比較困難。
於是,本實施形態是在下部容器202b的側面之比閘閥1490還靠上側的位置設有第1隔熱部10。第1隔熱部10是在Z方向(高度方向)比後述的隔板204還設於下側。藉由設置如此的隔熱部10,20等,可抑制下側容器202b之往XY方向.Z方向的延伸。並且,在處理室201及移載室203分別設置加熱器,獨立進行溫度控制,藉此可解決上述的課題。具體而言,藉由分別獨立控制處理室201的溫度及移載室203的溫度,可分別控制被成膜於處理室201內的膜的特性及被成膜於移載室203內的膜的特性。或,可容易進行被成膜於處理室201內的膜的洗滌條件的調整及被成膜於移載室203內的膜的洗滌條件的調整。
另外,第1隔熱部10是例如以耐熱樹脂、介電樹脂、石英、石墨等的其中任一種或複合的熱傳導率低的材料所構成,構成環形狀。
在處理室201內設有支撐晶圓200的基板支撐部210。基板支撐部210是具有:載置晶圓200的載置面211、及於表面持有載置面211及外周面215的基板載置台212。較理想是設置作為加熱部的加熱器213。藉由設置加熱部,使基板加熱,可使形成於基板上的膜的品質 提升。在基板載置台212中,昇降銷207所貫通的貫通孔214亦可分別設在與昇降銷207對應的位置。另外,亦可將形成於基板載置台212的表面之載置面211的高度形成比外周面215更低相當於晶圓200的厚度之長度部分。藉由如此構成,晶圓200的上面的高度與基板載置台212的外周面215的高度的差會變小,可抑制因高度差而產生的氣體的亂流。並且,當氣體的亂流不影響對晶圓200的處理均一性時,亦可構成使外周面215的高度成為與載置面211同一平面上的高度以上。
基板載置台212是藉由軸(shaft)217所支撐。軸217是貫通處理容器202的底部,更在處理容器202的外部連接至昇降機構218。使昇降機構218作動,令軸217及基板載置台212昇降,藉此構成可使載置於基板載置面211上的晶圓200昇降。另外,軸217下端部的周圍是藉由波紋管219所覆蓋,處理室201內是被保持於氣密。
在軸217與基板載置台212之間設有第2隔熱部20。此第2隔熱部20是實現抑制來自前述的加熱器213的熱傳至軸217或移載室203的任務。第2隔熱部20較理想是設在比閘閥1490更上側。更理想是將第2隔熱部20的徑構成比軸217的徑更短。藉此,可抑制從加熱器213往軸217的熱傳導,可使基板載置台212的溫度均一性提升。並且,在基板載置部212的下側,與第2隔熱部20之間,換言之,比加熱器213更下側,比第2隔熱部 20更上側,設有將來自加熱器213的熱反射的反射部30。
藉由將反射部30設在比第2隔熱部20更上側,不會有使來自加熱器213的放射熱放射至下部容器202b的內壁之情形,可使反射。
並且,可使反射效率提升,可使加熱器213對基板200的加熱效率提升。
將反射部30設在第2隔熱部20的下側時,來自加熱器213的熱是被吸收於第2隔熱部20,因此往加熱器213的反射量會降低,加熱器213的加熱效率會降低。並且,可抑制第2隔熱部20被加熱,軸217藉由第2隔熱部20而被加熱。
基板載置台212是在晶圓200的搬送時,以基板載置面211能夠成為基板搬出入口1480的位置(晶圓搬送位置)之方式下降,在晶圓200的處理時,如圖1所示般,晶圓200會上昇至處理室201內的處理位置(晶圓處理位置)。
具體而言,使基板載置台212下降至晶圓搬送位置時,昇降銷207的上端部會從基板載置面211的上面突出,昇降銷207會從下方支撐晶圓200。並且,使基板載置台212上昇至晶圓處理位置時,昇降銷207是從基板載置面211的上面埋沒,基板載置面211會從下方支撐晶圓200。另外,由於昇降銷207是與晶圓200直接接觸,因此最好例如以石英或礬土等的材質所形成。另外, 在此處理位置,第1隔熱部10是設在比閘閥1490更上側,設成比第2隔熱部20的高度更高。
又,亦可將第1隔熱部10設為設在後述的排氣口221的附近之構成。若根據此構成,則由於高溫的氣體會流至排氣口221,因此藉由在排氣口221的附近隔熱,可抑制經由構成處理容器202的壁或移載室203等而各種的部位被加熱。
藉由如此設置隔熱部10、20,容易將處理室201及移載室203各自分別進行溫度控制。
而且,在設第1隔熱部10的下部容器202b的內壁是設有用以加熱移載室203內的第2加熱部(移載室加熱部)300。
又,亦可在移載室203的內壁表面設置以和構成處理室201的構件同一材質所構成的防著部302。藉由將防著部302的材質設為和構成處理室201的石英同材質,在洗滌處理室201及移載室203時,可使用同洗滌氣體。另外,防著部302是例如膜狀地設在下部容器202b的表面。並且,防著部302亦可以板狀的構件所構成。
又,亦可在移載室203設置溫度調整部314。溫度調整部314是以側部溫度調整部314a及底部溫度調整部314b的任一方或雙方所構成。藉由設置溫度調整部314,可使移載室203的各部(側部或底部)的溫度均一地加熱。又,藉由組合溫度調整部314與第二加熱部300來加熱移載室203,可使移載室203均一地加熱,可使各部 的氣體的吸附量均一化。側部溫度調整部314a是設成包圍移載室203。例如,藉由螺旋狀的配管所構成。底部溫度調整部314b是設在移載室203的底部。例如,以能夠包圍軸217的一部分之方式,藉由螺旋狀的配管所構成。藉由從媒體供給部314c供給溫度調整媒體至溫度調整部314的配管內部,可將移載室203的側部或底部調整成預定的溫度。另外,溫度調整媒體是例如使用絕緣性的熱媒體,具體而言,有乙二醇或氟系的熱媒體。另外,溫度調整部314的溫度是以從媒體供給部314c供給的媒體來調整,媒體供給部314c是以控制部260來控制。另外,後述的成膜工程中的移載室203的溫度是例如被加熱至第1氣體及第2氣體的任一方或雙方不吸附的溫度以上。更理想是被設定成第1氣體及第2氣體的任一方或雙方不分解的溫度以下。並且,較理想是被設定成至少第1處理氣體及第2處理氣體之中每單位面積的吸附量多的一方的氣體不吸附的溫度以上且不分解的溫度以下。並且,在後述的洗滌工程中,亦可構成停止往溫度調整部314的冷媒供給,提高移載室203的壁的溫度。又,亦可構成使側部溫度調整部314a的溫度與底部溫度調整部314b的溫度不同。例如,構成使側部溫度調整部314a的溫度形成比底部溫度調整部314b更高。藉由形成如此的溫度設定,可抑制過剩的氣體往側部(側壁部)吸附,可使往移載室203的側部或底部之氣體吸附量均一化。
(排氣系)
在處理室201(上部容器202a)的內壁上面是設有將處理室201的環境排氣之作為第1排氣部的排氣口221。在排氣口221連接作為第1排氣管的排氣管224,且在排氣管224依序串連將處理室201內控制成預定的壓力之APC(Auto Pressure Controller)等的壓力調整器227、真空泵223。主要藉由排氣口221、排氣管224、壓力調整器227來構成第1排氣部(排氣管線)。另外,亦可構成將真空泵223含在第1排氣部中。
在緩衝空間232的內壁上面的淋浴頭234的上部是設有將緩衝空間232的環境排氣之作為第2排氣部的淋浴頭排氣口240。在淋浴頭排氣口240連接作為第2排氣管的排氣管236,且在排氣管236依序串連閥237、將緩衝空間232內控制成預定的壓力之APC等的壓力調整器238、及真空泵239。主要藉由淋浴頭排氣口240、閥237、排氣管236、壓力調整器238來構成第2排氣部(排氣管線)。另外,亦可將真空泵239構成含在第2排氣部中。又,亦可構成不設置真空泵239,將排氣管236連接至真空泵223。
在移載室203的側面下部設有用以將移載室203的環境排氣之作為第3排氣部的移載室排氣口304。在移載室排氣口304連接作為第3排氣管的排氣管306,且在排氣管306依序串連閥308、將移載室203內控制成預定的壓力之APC等的壓力調整器310、及真空泵312。 主要藉由移載室排氣口304、閥308、排氣管304、壓力調整器310來構成第3排氣部(排氣管線)。另外,亦可構成將真空泵312含在第3排氣部中。
(氣體導入口)
設在處理室201的上部之淋浴頭234的上面(頂壁)是設有用以對處理室201內供給各種氣體的氣體導入口241。有關被連接至氣體供給部的第1氣體導入口241之氣體供給單元的構成方面後述。
(氣體分散部)
淋浴頭234是藉由緩衝室(空間)232、分散板234b、分散孔234a、分散板加熱器234c來構成。淋浴頭234是設在氣體導入口241與處理室201之間。從氣體導入口241導入的氣體是被供給至淋浴頭234的緩衝空間232(分散部)。淋浴頭234是例如以石英、礬土、不鏽鋼、鋁等的材料所構成。分散板加熱器234c是作為第1加熱部,作為用以加熱處理室201內的加熱部機能。另外,分散板加熱器234c是構成藉由供給交流電力或電磁波等的能量至分散板加熱器234c來發熱。
另外,亦可以具有導電性的金屬來形成淋浴頭234的蓋231,作為用以激發存在於緩衝空間232或處理室201內的氣體之活化部(激發部)。此時,在蓋231與上部容器202a之間是設有絕緣塊233,將蓋231與上部 容器202a之間絕緣。亦可在作為活化部的電極(蓋231)連接匹配器251及高頻電源252,構成可供給電磁波(高頻電力或微波)。
在緩衝空間232設有用以使從氣體導入口241導入的氣體擴散至緩衝空間232的整流板253。
並且,在整流板253與蓋231之間設有氣導235,藉由整流板253及氣導235來形成從緩衝空間232往淋浴頭排氣口240排除氣體的氣體排氣流路258。
而且,亦可在蓋231設置加熱氣導235或整流板253等的蓋加熱器272。
(處理氣體供給部)
在被連接至整流板253的氣體導入口241連接共通氣體供給管242。如圖2所示般,在共通氣體供給管242連接第一氣體供給管243a、第二氣體供給管244a、第三氣體供給管245a、洗滌氣體供給管248a。
從包含第一氣體供給管243a的第一氣體供給部243是主要供給含第一元素氣體(第一處理氣體),從包含第二氣體供給管244a的第二氣體供給部244是主要供給含第二元素氣體(第二處理氣體)。從包含第三氣體供給管245a的第三氣體供給部245是主要供給淨化氣體,從包含洗滌氣體供給管248a的洗滌氣體供給部248是供給洗滌氣體。供給處理氣體的處理氣體供給部是以第1處理氣體供給部及第2處理氣體供給部的任一方或雙方所構 成,處理氣體是以第1處理氣體及第2處理氣體的一方或雙方所構成。
(第一氣體供給部)
在第一氣體供給管243a,從上游方向依序設有第一氣體供給源243b、流量控制器(流量控制部)的質量流控制器(MFC)243c、及開閉閥的閥243d。
從第一氣體供給源243b供給含有第一元素的氣體(第一處理氣體),經由MFC243c、閥243d、第一氣體供給管243a、共通氣體供給管242來供給至氣體緩衝空間232。
第一處理氣體是原料氣體,亦即處理氣體之一。
在此,第一元素是例如矽(Si)。亦即,第一處理氣體是例如含矽氣體。含矽氣體是例如可使用氯矽烷(Dichlorosilane(SiH2Cl2):DCS)氣體。另外,第一處理氣體的原料是亦可在常溫常壓為固體、液體及氣體的任一方。當第一處理氣體的原料在常溫常壓為液體時,只要在第一氣體供給源243b與MFC243c之間設置未圖示的氣化器即可。在此原料是作為氣體進行說明。
在比第一氣體供給管243a的閥243d更下游側連接第一惰性氣體供給管246a的下游端。在第一惰性氣體供給管246a,從上游方向依序設有惰性氣體供給源246b、MFC246c、及閥246d。
在此,惰性氣體是例如氮(N2)氣體。另外,惰性氣體除了N2氣體以外,例如可使用氦(He)氣體、氖(Ne)氣體、氬(Ar)氣體等的稀有氣體。
主要藉由第一氣體供給管243a、MFC243c、閥243d來構成含第一元素氣體供給部243(亦稱為含矽氣體供給部)。
又,主要藉由第一惰性氣體供給管246a、MFC246c及閥246d來構成第一惰性氣體供給部。另外,亦可思考將惰性氣體供給源246b、第一氣體供給管243a含在第一惰性氣體供給部中。
而且,亦可思考將第一氣體供給源243b、第一惰性氣體供給部含在含第一元素氣體供給部中。
(第二氣體供給部)
在第二氣體供給管244a的上游,從上游方向依序設有第二氣體供給源244b、MFC244c、及閥244d。
從第二氣體供給源244b供給含有第二元素的氣體(以下稱為「第2處理氣體」),經由質量流控制器244c、閥244d、第二氣體供給管244a、共通氣體供給管242來供給至緩衝空間232。
第2處理氣體是處理氣體之一。另外,第2處理氣體是亦可思考作為反應氣體或改質氣體。
在此,第2處理氣體是含有與第一元素不同的第二元素。第二元素是例如含氧(O)、氮(N)、碳(C)、氫 (H)之中一個以上。在本實施形態中,第2處理氣體是例如設為含氮氣體。具體而言,含氮氣體是使用氨(NH3)氣體。另外,第2處理氣體是每單位面積的吸附量比第1處理氣體更多的氣體。
主要藉由第二氣體供給管244a、MFC244c、閥244d來構成第2處理氣體供給部244。
再加上,亦可設置作為活化部的遠程電漿(remote plasma)單元(RPU)244e,構成可活化第二處理氣體。
並且,在比第二氣體供給管244a的閥244d更下游側連接第二惰性氣體供給管247a的下游端。在第二惰性氣體供給管247a,從上游方向依序設有惰性氣體供給源247b、MFC247c、及閥247d。
從第二惰性氣體供給管247a是惰性氣體會經由MFC247c、閥247d、第二氣體供給管247a來供給至緩衝空間232。惰性氣體是在薄膜形成工程(後述的S203~S207)中作為載流氣體或稀釋氣體作用。
主要藉由第二惰性氣體供給管247a、MFC247c及閥247d來構成第二惰性氣體供給部。另外,亦可思考將惰性氣體供給源247b、第二氣體供給管244a含在第二惰性氣體供給部中。
而且,亦可思考將第二氣體供給源244b、第二惰性氣體供給部含在含第二元素氣體供給部244中。
(第三氣體供給部)
在第三氣體供給管245a,從上游方向依序設有第三氣體供給源245b、MFC245c、及閥245d。
從第三氣體供給源245b供給作為淨化氣體的惰性氣體,經由MFC245c、閥245d、第三氣體供給管245a、共通氣體供給管242來供給至緩衝空間232。
在此,惰性氣體是例如氮(N2)氣體。另外,惰性氣體除了N2氣體以外,例如可使用氦(He)氣體、氖(Ne)氣體、氬(Ar)氣體等的稀有氣體。
主要藉由第三氣體供給管245a、質量流控制器245c、閥245d來構成第三氣體供給部245(亦稱為淨化氣體供給部)。
(第1洗滌氣體供給部)
在第1洗滌氣體供給管248a,從上游方向依序設有洗滌氣體源248b、MFC248c、閥248d、RPU250。
從洗滌氣體源248b供給洗滌氣體,經由MFC248c、閥248d、RPU250、洗滌氣體供給管248a、共通氣體供給管242來供給至氣體緩衝空間232。
在比洗滌氣體供給管248a的閥248d更下游側連接第四惰性氣體供給管249a的下游端。在第四惰性氣體供給管249a,從上游方向依序設有第四惰性氣體供給源249b、MFC249c、閥249d。
又,主要藉由洗滌氣體供給管248a、 MFC248c及閥248d來構成第1洗滌氣體供給部。另外,亦可思考將洗滌氣體源248b、第四惰性氣體供給管249a、RPU250含在洗滌氣體供給部中。
另外,亦可將從第四惰性氣體供給源249b供給的惰性氣體供給成作為洗滌氣體的載流氣體或稀釋氣體作用。
從洗滌氣體供給源248b供給的洗滌氣體是在洗滌工程中作為除去附著於淋浴頭234或處理室201的副生成物等的洗滌氣體作用。
(第2洗滌氣體供給部)
在移載室203的側部的上部設有第2洗滌氣體供給管320。在第2洗滌氣體供給管320,從上游方向依序設有洗滌氣體源322、MFC324、閥326、RPU328。
從洗滌氣體源322供給洗滌氣體,經由MFC324、閥326、RPU328、洗滌氣體供給管320來供給至移載室203內。
主要藉由洗滌氣體供給管320、MFC324及閥326來構成第2洗滌氣體供給部。另外,亦可將洗滌氣體源322、RPU328含在第2洗滌氣體供給部中。
從洗滌氣體供給源322供給的洗滌氣體是在洗滌工程中作為除去附著於移載室203的內壁,昇降銷207,軸217,基板支撐部210的背面,隔板204的背面等的副生成物等之洗滌氣體作用。
在此,洗滌氣體是例如三氟化氮(NF3)氣體。另外,洗滌氣體例如可為氟化氫(HF)氣體、三氟化氯氣體(ClF3)氣體、氟(F2)氣體等,或組合該等使用。
又,設在上述各氣體供給部的流量控制部(MFC)是針形閥或孔口等之氣體流程的反應性高的構成為理想。例如,當氣體的脈衝寬為毫秒等級時,在MFC有無法反應的情形,但針形閥或孔口的情況是藉由與高速的ON/OFF閥組合,可對應於毫秒以下的氣體脈衝。
(控制部)
如圖1所示般,基板處理裝置100是具有控制基板處理裝置100的各部的動作的控制器260。
將控制器260的概略顯示於圖3。控制部(控制手段)的控制器260是構成為具備CPU(Central Processing Unit)260a、RAM(Random Access Memory)260b、記憶裝置260c、I/O埠260d的電腦。RAM260b、記憶裝置260c、I/O埠260d是構成可經由內部匯流排260e來與CPU260a交換資料。控制器260是構成可連接例如構成為觸控面板等的輸出入裝置261、或外部記憶裝置262。
記憶裝置260c是例如以快閃記憶體、HDD(Hard Disk Drive)等所構成。在記憶裝置260c內,控制基板處理裝置的動作之控制程式、或記載有後述的基板處理的程序或條件等的製程處方、在至設定使用於對晶圓200的處理的製程處方為止的過程所產生的運算資料或處 理資料等是可讀出地被儲存。另外,製程處方是使後述的基板處理工程的各程序實行於控制器260,組合成可取得預定的結果,作為程式機能。以下,亦將此製程處方或控制程式等總稱簡稱為程式。另外,在本說明書中稱為程式時,有只包含製程處方單體時,只包含控制程式單體時,或包含其雙方時。又,RAM260b是構成為暫時性地保持藉由CPU260a所讀出的程式、運算資料、處理資料等的資料的記憶領域(工作區域)。
I/O埠260d是被連接至閘閥1490、昇降機構218、加熱器213,234c,272,300、壓力調整器227,238,310、真空泵223,239,312、匹配器251、高頻電源252、閥237,243d,244d,245d,246d,247d,248d,249d,308,326、遠程電漿單元244e,250,328、MFC243c,244c,245c,246c,247c,248c,249c,324、媒體供給部314c、等。
作為運算部的CPU260a是構成讀出來自記憶裝置260c的控制程式而實行,且按照來自輸出入裝置260的操作指令的輸入等,從記憶裝置260c讀出製程處方。並且,構成比較.運算從接收部285輸入的設定值與被記憶於記憶裝置260c的製程處方或控制資料,而可算出運算資料。而且,構成可由運算資料實行對應的處理資料(製程處方)的決定處理等。然後,CPU260a是以能夠按照讀出的製程處方的內容之方式,構成可控制閘閥1490的開閉動作、昇降機構218的昇降動作、往加熱器213, 234c,272,300的電力供給動作、壓力調整器227,238的壓力調整動作、真空泵223,239,312的開啟關閉控制、遠程電漿單元244e,250,328的氣體的活化動作、閥237,243d,244d,245d,246d,247d,248d,249d,308,326的氣體的開啟關閉控制、MFC243c,244c,245c,246c,247c,248c,249c,324的動作控制、匹配器251的電力的整合動作、高頻電源252的開啟關閉控制、媒體供給部314c的媒體的供給等。
另外,控制器260是不限於構成為專用的電腦時,亦可構成為泛用的電腦。例如,準備儲存上述程式的外部記憶裝置(例如,磁帶,軟碟或硬碟等的磁碟,CD或DVD等的光碟,MO等的光磁碟,USB記憶體或記憶卡等的半導體記憶體)262,利用該外部記憶裝置262來將程式安裝於泛用的電腦,藉此可構成本實施形態的控制器260。另外,用以對電腦供給程式的手段是不限於經由外部記憶裝置262來供給的情況。例如,亦可利用網路263(網際網際或專線等)等的通訊手段,不經由外部記憶裝置262來供給程式。另外,記憶裝置260c或外部記憶裝置262是構成為電腦可讀取的記錄媒體。以下,亦將該等總稱簡稱為記錄媒體。另外,在本說明書中稱記錄媒體時,有只包含記憶裝置260c單體時,只包含外部記憶裝置262單體時,或包含其雙方時。
(2)基板處理工程
其次,參照圖4,圖5來說明有關利用上述的基板處理裝置的處理爐,在基板上形成絕緣膜,例如作為含矽膜的矽氮化(SiN)膜的順序例,作為半導體裝置(半導體裝置)的製造工程的一工程。另外,在以下的說明中,構成基板處理裝置的各部的動作是藉由控制器260來控制。
在本說明書中稱「晶圓」時,有意思「晶圓本身」時、或「晶圓及形成於其表面的預定的層或膜等及其層疊體(集合體)」時(亦即包含形成於表面的預定的層或膜等來稱晶圓時)。並且,在本說明書中稱「晶圓的表面」時,有意思「晶圓本身的表面(露出面)」時、或「形成於晶圓上的預定的層或膜等的表面,亦即作為層疊體的晶圓的最表面」時。
因此,在本說明書中記載「對於晶圓供給預定的氣體」時,有意思「對於晶圓本身的表面(露出面)直接供給預定的氣體」時、或意思「對於晶圓上所形成的層或膜等,亦即對於作為層疊體的晶圓的最表面供給預定的氣體」時。並且,在本說明書中記載「在晶圓上形成預定的層(或膜)」時,有意思「在晶圓本身的表面(露出面)上直接形成預定的層(或膜)」時、或意思「在晶圓上所形成的層或膜等上,亦即在作為層疊體的晶圓的最表面上形成預定的層(或膜)」時。
另外,在本說明書中稱「基板」時也與稱「晶圓」時同樣,該情況,在上述說明中,只要將「晶圓」置換成「基板」即可。
以下,說明有關基板處理工程。
(基板搬入工程S201)
基板處理工程時,首先,使晶圓200搬入至處理室201。具體而言,藉由昇降機構218來使基板支撐部210下降,形成昇降銷207從貫通孔214突出至基板支撐部210的上面側的狀態。並且,將處理室201內調壓成預定的壓力之後,開放閘閥1490,使晶圓200從閘閥1490的開口載置於昇降銷207上。使晶圓200載置於昇降銷207上之後,藉由昇降機構218來使基板支撐部210上昇至預定的位置,藉此晶圓200會從昇降銷207往基板支撐部210載置。
(減壓.昇溫工程S202)
接著,以處理室201內能夠成為預定的壓力(真空度)之方式,經由處理室排氣管224來將處理室201內排氣。此時,根據壓力感測器所測定的壓力值,反餽控制作為壓力調整器222的APC閥的閥的開度。並且,根據溫度感測器(未圖示)所檢測出的溫度值,以處理室201內的溫度能夠成為預定的溫度,比移載室203的溫度高的方式,反餽控制往作為第1加熱部的加熱器213,分散板加熱器234c及第2加熱部(加熱器)300的通電量。具體而言,藉由加熱器213預熱基板支撐部210,在晶圓200或基板支撐部210的溫度無變化之後使維持一定時間。此期間,當 有殘留於處理室201內的水分或來自構件的脫氣等時,亦可藉由真空排氣或N2氣體的供給之淨化來除去。藉此成膜製程前的準備完了。另外,在將處理室201內排氣成預定的壓力時,亦可一次真空排氣至可到達的真空度。
此時,加熱器213的溫度是被設定成200~750℃、較理想是300~600℃、更理想是300~550℃的範圍內的一定的溫度。分散板加熱器234c的溫度是例如設定成200~400℃程度。第2加熱部(加熱器)300的溫度是設定成室溫~400℃程度,較理想是設定成50℃~200℃程度。又,以側部溫度調整部314a及底部溫度調整部314b的溫度也同樣能形成50℃~200℃程度的方式供給熱媒體。另外,此溫度是被控制成維持於成膜工程S301A。並且,此溫度是被設定成第1氣體及第2氣體的任一方或雙方吸附於晶圓200上的溫度,更理想是被設定成第1氣體及第2氣體的任一方或雙方分解於晶圓200上的溫度以上。亦即,被設定成產生反應的溫度。另外,第2加熱部300的溫度是如上述般被設定成吸附或分解會被阻礙的溫度。
(成膜工程S301A)
接著,說明有關在晶圓200形成SiN膜的例子。利用圖4,圖5來說明有關成膜工程S301A的詳細。
晶圓200被載置於基板支撐部210,處理室201內的環境安定後,圖4所示之S203~S207的步驟會 被進行。
(第1氣體供給工程S203)
第1氣體供給工程S203是從第1氣體供給部供給作為第1氣體(原料氣體)的含矽氣體至處理室201內。含矽氣體是例如DCS氣體。具體而言,開啟氣體閥,從氣體源供給含矽氣體至腔室100。此時,開啟處理室側閥,以MFC來調整成預定流量。被調整流量的含矽氣體是通過緩衝空間232,從淋浴頭234的分散孔234a供給至減壓狀態的處理室201內。並且,繼續排氣系之處理室201內的排氣,將處理室201內的壓力控制成預定的壓力範圍(第1壓力)。此時,對於晶圓200供給含矽氣體之該含矽氣體是以預定的壓力(第1壓力:例如100Pa以上20000Pa以下)來供給至處理室201內。如此,對晶圓200供給含矽氣體。藉由供給含矽氣體,在晶圓200上形成含矽層。在此,所謂含矽層是含矽(Si)或矽及氯(Cl)的層。
(第1淨化工程S204)
在晶圓200上形成含矽層之後,停止含矽氣體的供給。藉由停止原料氣體,從處理室排氣管224排出存在於處理室201中的原料氣體或存在於緩衝空間232中的原料氣體,藉此進行第1淨化工程S204。
並且,淨化工程,除了只是將氣體排除(抽真空)而排出氣體以外,亦可構成供給惰性氣體,而進行擠 出殘留氣體之排出處理。又,亦可組合抽真空及惰性氣體的供給來進行。又,亦可構成交替進行抽真空及惰性氣體的供給。
另外,此時,亦可開啟淋浴頭排氣管236的閥237,從淋浴頭排氣管236排除存在於緩衝空間232內的氣體。另外,排氣中,藉由壓力調整器227及閥237來控制淋浴頭排氣管236及緩衝空間232內的壓力(排氣傳導)。排氣傳導是以緩衝空間232之來自淋浴頭排氣管236的排氣傳導能夠形成比經由處理室201之往處理室排氣管224的排氣傳導更高的方式控制壓力調整器227及閥237。藉由如此調整,形成從緩衝空間232的端部之氣體導入口241朝向另一方的端部之淋浴頭排氣口240的氣體流動。如此一來,不會有附著於緩衝空間232的壁之氣體或浮游於緩衝空間232內的氣體進入處理室201的情形,可從淋浴頭排氣管236排氣。另外,亦可調整緩衝空間232內的壓力及處理室201的壓力(排氣傳導),而使能夠抑制氣體從處理室201往緩衝空間232內逆流。
並且,第1淨化工程S204是繼續真空泵223的動作,從真空泵223排除存在於處理室201內的氣體。另外,亦可調整壓力調整器227及閥237,而使從處理室201往處理室排氣管224的排氣傳導能夠形成比往緩衝空間232的排氣傳導更高。藉由如此地調整,形成經由處理室201之朝向處理室排氣管224的氣體流動,可排除殘留於處理室201內的氣體。
預定的時間經過後,停止惰性氣體的供給,且關閉閥237而遮斷從緩衝空間232往淋浴頭排氣管236的流路。
更理想是預定時間經過後,最好一面使真空泵223繼續作動,一面關閉閥237。如此一來,經由處理室201之朝處理室排氣管224的流動不會受到淋浴頭排氣管236的影響,因此可更確實地將惰性氣體供給至基板上,可使基板上的殘留氣體的除去效率更提升。
另外,淨化緩衝空間232,除了只是抽真空來排出氣體以外,還意味藉由惰性氣體的供給之氣體的擠出動作。因此,在第1淨化工程S204,亦可構成對緩衝空間232內供給惰性氣體,進行將殘留氣體擠出之排出動作。又,亦可組合抽真空及惰性氣體的供給來進行。又,亦可構成交替進行抽真空及惰性氣體的供給。
並且,此時供給至處理室201內的N2氣體的流量也無須設為大流量,例如亦可供給與處理室201的容積同程度的量。藉由如此地淨化,可減低對其次的工程的影響。又,藉由不完全淨化處理室201內,可縮短淨化時間,使製造處理能力提升。而且,N2氣體的消耗也可壓到必要最小限度。
從此時的各惰性氣體供給系供給之作為淨化氣體的N2氣體的供給流量是分別例如設為100~20000sccm的範圍內的流量。淨化氣體是除了N2氣體以外,亦可使用Ar,He,Ne,Xe等的稀有氣體。
(第2處理氣體供給工程S205)
第1氣體淨化工程之後,經由氣體導入口241、複數的分散孔234a來供給作為第2氣體(反應氣體)的含氮氣體至處理室201內。含氮氣體是表示利用氨氣體(NH3)的例子。因為經由分散孔234a來供給至處理室201,所以可在基板上均一地供給氣體。因此,可使膜厚形成均一。另外,在供給第2氣體時,亦可構成可經由作為活化部(激發部)的RPU來供給使活化的第2氣體至處理室201內。
此時,以NH3氣體的流量能夠成為預定的流量之方式調整MFC244c。另外,NH3氣體的供給流量是例如100sccm以上10000sccm以下。並且,當NH3氣體流動於RPU內時,是將RPU設為ON狀態(電源切入的狀態),控制成使NH3氣體活化(激發)。
一旦NH3氣體被供給至晶圓200上所形成的含矽層,則含矽層會被改質。例如,含有矽元素或矽元素與氮元素的改質層會被形成。另外,設置RPU,將活化的NH3氣體供給至晶圓200上,藉此可形成更多的改質層。
改質層是例如按照處理室201內的壓力、NH3氣體的流量、晶圓200的溫度、RPU的電力供給情況來以預定的厚度、預定的分布、對於含矽層之預定的氮成分等的侵入深度形成。
預定的時間經過後,停止NH3氣體的供給。
另外,供給NH3氣體,使含矽層改質時,以 下的副生成物會被生成。例如,有氯化銨(NH4Cl)或氯化氫(HCl)。上述在移載室203內所被生成的副生成物或堆積於移載室203內的膜是假想與該等同樣的物質或組合該等的物質或該等的物質與第1氣體及第2氣體的任一或雙方反應的物質會被生成。
(第2淨化工程S206)
藉由停止NH3氣體的供給,將存在於處理室201中的NH3氣體或存在於緩衝空間232中的NH3氣體從第1排氣部排氣,藉此進行第2淨化工程S206。第2淨化工程S206是與上述的第1淨化工程S204同樣的工程會被進行。
第2淨化工程S206是繼續真空泵223的動作,從處理室排氣管224排除存在於處理室201內的氣體。另外,亦可調整壓力調整器227及閥237,而使從處理室201往處理室排氣管224的排氣傳導能夠形成比往緩衝空間232的排氣傳導更高。藉由如此地調整,形成經由處理室201之朝向處理室排氣管224的氣體流動,可排除殘留於處理室201內的氣體。並且,藉由在此供給惰性氣體,可將惰性氣體確實地供給至基板上,基板上的殘留氣體的除去效率變高。
預定的時間經過後,停止惰性氣體的供給,且關閉閥237而遮斷緩衝空間232與淋浴頭排氣管236之間。
更理想是預定時間經過後,最好一面使真空泵223繼續作動,一面關閉閥237。若如此構成,則經由處理室201之朝淋浴頭排氣管236的流動不會受到處理室排氣管224的影響,因此可更確實地將惰性氣體供給至基板上,可使基板上的殘留氣體的除去效率更提升。
另外,從處理室201淨化環境,除了只是抽真空來排出氣體以外,還意味藉由惰性氣體的供給之氣體的擠出動作。又,亦可組合抽真空及惰性氣體的供給來進行。又,亦可構成交替進行抽真空及惰性氣體的供給。
並且,此時供給至處理室201內的N2氣體的流量也無須設為大流量,例如亦可供給與處理室201的容積同程度的量。藉由如此地淨化,可減低對其次的工程的影響。又,藉由不完全淨化處理室201內,可縮短淨化時間,使製造處理能力提升。而且,N2氣體的消耗也可壓到必要最小限度。
又,從此時的各惰性氣體供給系供給之作為淨化氣體的N2氣體的供給流量是分別例如設為100~20000sccm的範圍內的流量。淨化氣體是與上述的淨化氣體同樣。
(判定工程S207)
第1淨化工程S206的終了後,控制器260判定上述的成膜工程S301A之中,S203~S206是否被實行預定的循環數n(n是自然數)。亦即,判定是否在晶圓200上形 成所望的厚度的膜。以上述的步驟S203~S206作為1循環,藉由至少進行1次以上此循環(步驟S207),可在晶圓200上形成預定膜厚之含矽及氮的絕緣膜,亦即SiN膜。另外,上述的循環是重複複數次為理想。藉此,在晶圓200上形成預定膜厚的SiN膜。
被實施預定次數時(在S207判定No時),重複S203~S206的循環。被實施預定次數時(在S207判定Yes時),終了成膜工程S301,實行搬送壓力調整工程S208及基板搬出工程S209。
(搬送壓力調整工程S208)
搬送壓力調整工程S208是以處理室201內或移載室203能夠成為預定的壓力(真空度)之方式,經由處理室排氣管224及移載室排氣管304來將處理室201內或移載室203內排氣。此時的處理室201內或移載室203內的壓力是被調整成真空搬送室1400內的壓力以下。另外,亦可構成在此搬送壓力調整工程S208的期間或前或後,以晶圓200的溫度能夠冷卻至預定的溫度之方式保持於昇降銷207。
(基板搬出工程S209)
在搬送壓力調整工程S208,處理室201及移載室203內形成預定壓力之後,開啟閘閥1490,從移載室203搬出晶圓200至真空搬送室1400。
以如此的工程進行晶圓200的處理。
接著,利用圖6來說明有關洗滌工程。
在此,使處理室201與移載室203連通的狀態下,同時期洗滌時,由於洗滌氣體是成為來自淋浴頭234上部的供給,因此有助於洗滌的蝕刻劑的濃度是處理室201比移載室203更高。其結果,移載室203的側部的洗滌完了時,處理室201的周邊部成為過蝕刻,有使構件劣化的課題。並且,不使處理室201與移載室203內連通來分別洗滌時,一方的洗滌氣體會流入另一方,有使存在於另一方的空間內的構件劣化的課題。以下記載的洗滌工程是可解決該等的課題。
(基板載置台移動工程S401)
在洗滌工程時,首先,藉由昇降機構218來使基板載置台212上昇,使基板載置台212移動至隔開處理室201與移載室203的位置。另外,此時,亦可構成使洗滌用的晶圓(虛擬晶圓)載置於基板載置台212。藉由使虛擬晶圓載置,可抑制因洗滌氣體被供給至基板載置台212的載置面211而造成載置面211的過蝕刻等。
(溫度調整工程S402)
接著,控制作為第一加熱部的加熱器213、分散板加熱器234c、第二加熱部300,而使處理室201及移載室203的溫度形成預定的溫度。在重複通常的基板處理工程 的期間所進行的洗滌工程,如圖7、圖8的實線所示般,在成膜工程S301A的溫度會被維持。在複數批間所進行的洗滌工程,如圖7或圖8的虛線所示般,亦可藉由控制器260來控制移載室203內的第2加熱部300及加熱處理室201內之作為第一加熱部的加熱器213及分散板加熱器234c,而使移載室203內的溫度形成比處理室201內的溫度高。藉由將移載室203內的溫度形成比處理室201內的溫度更高,可使在移載室203內的洗滌氣體的活性度形成比在處理室201內的洗滌氣體的活性度更高,即使堆積於移載室203的膜厚為厚時,或形成於細部的膜或附著的副生成物除去時,還是可使移載室203的洗滌時間接近處理室201的洗滌時間。
此時,第2加熱部300的溫度是設定成200~750℃,較理想是300~600℃,更理想是300~550℃的範圍內的一定的溫度,分散板加熱器234c的溫度是例如設定成200~400℃程度,加熱器213的溫度是設定成100~400℃程度。亦即,被設定成移載室203的溫度比處理室200的溫度更高。另外,將如此的溫度調整例顯示於圖7、圖8。
並且,藉由設置上述的隔熱部,從處理室201往移載室203的熱移動量會減少。藉此,不受來自處理室201的熱影響,可進行移載室203的溫度調整。
而且,在提高移載室203的溫度時,亦可使往溫度調整部314之媒體的供給停止。藉由使媒體的供給 停止,可使移載室203的溫度上昇時間縮短。
(往移載室的洗滌氣體供給工程S403)
往移載室203的洗滌氣體供給工程S403是從第2洗滌氣體供給部供給洗滌氣體至移載室203內。從洗滌氣體源322供給洗滌氣體,經由MFC324、閥326、RPU328、洗滌氣體供給管320來供給至移載室203內。此時,藉由RPU328,洗滌氣體被活化,構成被供給至移載室203內。另外,往處理室的洗滌氣體供給工程S404也並行,藉此可抑制一方的空間的洗滌氣體流入另一方的空間。藉由將移載室203內的壓力形成比處理室201內的壓力更低,可抑制在移載室203內所生成的洗滌反應物進入處理室201。並且,藉由調整移載室203內的壓力,可供給洗滌氣體至移載室203內的各個角落。具體而言,藉由移載室203內的洗滌氣體形成成為分子流的壓力,氣體分子的平均自由工程會變長,可使充分地擴散於空間內。並且,藉由關閉閥308,形成成為黏性流的壓力,可拉長氣體分子與存在於移載室203內的膜或副生成物等的接觸時間,可使洗滌促進。而且,分子流的狀態是氣體分子難進入,在基板載置台212的側方部501、第2隔熱部20的側方部502、基板搬入搬出口1480等也可使洗滌氣體分子充分地供給。並且,使移載室203的溫度形成洗滌氣體分子在側部或底部的停滯時間變長的溫度為理想。例如,調整成洗滌氣體分子吸附的溫度。藉此,可使洗滌促進。
具體而言,開啟閥326,將洗滌氣體從洗滌氣體源322供給至移載室203內。此時,以MFC324來調整成預定流量。被調整流量的洗滌氣體是被供給至移載室203內。另外,洗滌氣體,例如可使用三氟化氮(NF3)氣體、氟化氫(HF)氣體、三氟化氯氣體(ClF3)氣體、氟(F2)氣體等,或組合該等使用。
(往處理室的洗滌氣體供給工程S404)
往處理室201的洗滌氣體供給工程S404是從第1洗滌氣體供給部供給洗滌氣體至處理室201內。從洗滌氣體源248b供給洗滌氣體,經由MFC248c、閥248d、洗滌氣體供給管248a、共通氣體供給管242、氣體緩衝空間232、分散孔234a來供給至處理室201內。此時,亦可構成藉由RPU250來活化洗滌氣體,而供給至移載室203內。
具體而言,開啟閥248d,將洗滌氣體從氣體源248b供給至處理室201內。此時,以MFC248c來調整成預定流量。被調整流量的洗滌氣體是被供給至處理室201內。另外,洗滌氣體,例如可使用三氟化氮(NF3)氣體、氟化氫(HF)氣體、三氟化氯氣體(ClF3)氣體、氟(F2)氣體等,或組合該等使用。
另外,在此,往移載室的洗滌氣體供給工程S403及往處理室的洗滌氣體供給工程S404所使用的洗滌氣體種類是設為同樣性質的氣體為理想。藉由使用同樣性 質的氣體種類,即使被供給至一方的空間的洗滌氣體流入另一方的空間,還是可抑制非意圖的反應發生。另外,為了抑制此流入,最好縮小一方的空間內的壓力與另一方的空間內的壓力的差。藉由縮小壓力差,可抑制洗滌氣體的流入。
在往移載室的洗滌氣體供給工程S403及往處理室的洗滌氣體供給工程S404中,預定時間供給洗滌氣體之後,進行洗滌終了工程S405。
(洗滌終了工程S405)
在洗滌終了工程S405,首先,洗滌氣體的供給會被停止,使殘留於處理室201及移載室203內的洗滌氣體淨化。此時,藉由對處理室201及移載室203內供給惰性氣體,可將殘留的洗滌氣體擠出,殘留的洗滌氣體是可將反應生成物擠出。另外,供給惰性氣體時,藉由重複供給及供給停止之真空排氣,可使排出效率提升。此淨化是例如圖7所記載般在S405之初進行。
充分進行排氣.氣體置換後,處理室201為了進行上述的成膜工程S301A而使溫度上昇。並且,移載室203是備於成膜工程S301A,進行溫度調整。另外,如圖8的虛線所示般,加熱移載室203時,冷卻會被進行。在使移載室203冷卻時,藉由供給冷媒至溫度調整部314,可使冷卻時間縮短。
並且,充分進行淨化後,亦可在處理室201, 被設定成在上述的減壓.昇溫工程S202的溫度之前,以比該溫度更高的溫度來保持預定時間。例如,加熱器213的溫度是被設定成300~800℃,較理想是400~700℃,更理想是400~600℃的範圍內的一定的溫度,分散板加熱器234c的溫度是例如被設定成300~500℃程度,第2加熱部(加熱器)300的溫度是被設定成300~500℃程度。例如圖7的虛線所示,使維持成t般。在此,各自的加熱器的溫度是使比成膜工程S301A時更上昇50℃~100℃程度。藉由如此將處理室201形成比成膜工程S301A時的溫度更高溫度,可使吸附於處理室201的內壁或構件,移載室203的內壁或構件等的洗滌氣體或吸附的反應生成物、洗滌副生成物脫離,可使在成膜工程301A的晶圓200的處理品質提升。另外,所謂洗滌副生成物是例如氟系或鹵素系的物質,上述的洗滌氣體、第1氣體、第2氣體、副生成物等所反應而產生的物質。並且,如圖8的虛線所示般,在移載室203中也同樣,藉由以比成膜工程S301A時的溫度更高的溫度來使保持預定時間,可使在成膜工程S301A的期間,從移載室203流入處理室201的反應生成物的量減低,可使晶圓200的處理品質提升。將處理室201及移載室203調整成以比成膜工程S301A時的溫度更高的溫度來保持的工程t之後,成為成膜工程S301A的溫度。另外,亦可設定成從圖7所示的p的工程使溫度上昇。
如此,進行洗滌工程。
又,上述是針對交替供給原料氣體及反應氣體來成膜的方法記載,但只要原料氣體及反應氣體的氣相反應量或副生成物的發生量為容許範圍內,其他的方法也可適用。例如,原料氣體及反應氣體的供給時機重疊那樣的方法。
又,上述是針對成膜處理記載,但亦可適用在其他的處理。例如,有擴散處理、氧化處理、氮化處理、氧氮化處理、還元處理、氧化還元處理、蝕刻處理、加熱處理等。例如,只使用反應氣體,電漿氧化處理或電漿氮化處理基板表面或形成於基板的膜時也可適用本發明。又,亦可適用在只使用反應氣體的電漿退火處理。
又,上述是針對半導體裝置的製造工程記載,但實施形態的發明是亦可適用在半導體裝置的製造工程以外。例如,有液晶裝置的製造工程、太陽電池的製造工程、發光裝置的製造工程、玻璃基板的處理工程、陶瓷基板的處理工程、導電性基板的處理工程等的基板處理。
又,上述是使用含矽氣體作為原料氣體,使用含氮氣體作為反應氣體,形成矽氮化膜的例子,但亦可適用在使用其他的氣體的成膜。例如,有含氧膜、含氮膜、含碳膜、含硼膜、含金屬膜及含有複數該等的元素的膜等。另外,該等的膜是例如有SiO膜、AlO膜、ZrO膜、HfO膜、HfAlO膜、ZrAlO膜、SiC膜、SiCN膜、SiBN膜、TiN膜、TiC膜、TiAlC膜等。比較為了形成該等的膜而被使用的原料氣體及反應氣體各自的氣體特性 (吸附性、脫離性、蒸氣壓等),適當變更供給位置或淋浴頭234內的構造,藉此可取得同樣的效果。
又,上述是顯示在一個的處理室處理一片的基板之裝置構成,但並非限於此,亦可為在水平方向或垂直方向排列複數片的基板之裝置。

Claims (13)

  1. 一種基板處理裝置,其特徵係具有:處理室,其係處理基板;第1加熱部,其係設在載置前述基板的基板載置台,加熱前述基板和前述處理室;移載室,其係設有將前述基板移載至前述處理室的基板載置台;間隔部,其係隔開前述處理室與前述移載室;第2加熱部,其係設在前述移載室之比前述間隔部更下方側;處理氣體供給部,其係對前述處理室供給處理氣體;第1洗滌氣體供給部,其係對前述處理室供給洗滌氣體;第2洗滌氣體供給部,其係對前述移載室供給洗滌氣體;及控制部,其係控制前述第1加熱部、前述第2加熱部、前述第1洗滌氣體供給部及前述第2洗滌氣體供給部,前述控制部係構成:控制前述第1加熱部,而使前述基板形成與前述處理氣體反應的溫度帶,控制前述第2加熱部,而使前述移載室形成不與前述處理氣體吸附的溫度以上,分解的溫度以下的溫度帶。
  2. 如申請專利範圍第1項之基板處理裝置,其中,具 有:側部溫度調整部,其係調整前述移載室的側部的溫度;及底部溫度調整部,其係調整該移載室的底部的溫度。
  3. 如申請專利範圍第2項之基板處理裝置,其中,前述控制部係構成:控制前述第1加熱部,而使前述基板形成與前述處理氣體反應的溫度帶,控制前述第2加熱部,而使前述移載室形成不與前述處理氣體吸附的溫度以上,分解的溫度以下的溫度帶。
  4. 如申請專利範圍第2項之基板處理裝置,其中,前述控制部係控制供給熱媒體至前述側部溫度調整部及前述底部溫度調整部的媒體供給部,而使前述側部溫度調整部的溫度形成比前述底部溫度調整部的溫度更高。
  5. 如申請專利範圍第1項之基板處理裝置,其中,在前述移載室的側部的前述間隔部側具有第1隔熱部。
  6. 如申請專利範圍第2項之基板處理裝置,其中,在前述移載室的側部的前述間隔部側具有第1隔熱部。
  7. 如申請專利範圍第1項之基板處理裝置,其中,具有:設在支撐前述基板載置台的軸與前述基板載置台之間,以比前述軸的徑更小的徑所形成的第2隔熱部。
  8. 如申請專利範圍第2項之基板處理裝置,其中,具有:設在支撐前述基板載置台的軸與前述基板載置台之間,以比前述軸的徑更小的徑所形成的第2隔熱部。
  9. 如申請專利範圍第6項之基板處理裝置,其中,具有:設在支撐前述基板載置台的軸與前述基板載置台之間,以比前述軸的徑更小的徑所形成的第2隔熱部。
  10. 如申請專利範圍第1項之基板處理裝置,其中,前述控制部係控制前述第1加熱部、前述第2加熱部、前述處理氣體供給部、前述第1洗滌氣體供給部及前述第2洗滌氣體供給部,使在前述處理室內供給處理氣體來處理前述基板時,前述處理室的溫度比前述移載室的溫度更高,而使在對前述處理室及前述移載室供給前述洗滌氣體時,前述移載室的溫度形成比前述處理室的溫度更高。
  11. 如申請專利範圍第1項之基板處理裝置,其中,前述控制部係控制前述第1洗滌氣體供給部及前述第2洗滌氣體供給部,而使在前述基板載置台被移動至隔開前述處理室與前述移載室的位置之後,將前述洗滌氣體供給至前述處理室及前述移載室。
  12. 如申請專利範圍第1項之基板處理裝置,其中,前述控制部係於供給前述洗滌氣體至前述處理室及前述移載室時,控制前述第2加熱部,而使形成前述洗滌氣體吸附於前述移載室的溫度帶。
  13. 一種半導體裝置的製造方法,其特徵係具有:將基板搬送至設有第2加熱部的移載室之工程;使前述基板載置於設在前述移載室內的基板載置台之工程;使載置前述基板的前述基板載置台從前述移載室移動 至前述處理室,而以隔開前述處理室和前述移載室的間隔部與前述基板載置台來隔開該處理室和該移載室之工程;以第1加熱部來加熱前述處理室,而使前述基板形成與前述處理氣體反應的溫度帶,且以前述第2加熱部來加熱前述移載室,而使前述移載室形成不與前述處理氣體吸附的溫度以上,分解的溫度以下的溫度帶之工程;對前述處理室供給處理氣體之工程;及對前述處理室和前述移載室供給洗滌氣體之工程。
TW105120570A 2016-03-29 2016-06-29 Substrate processing apparatus, manufacturing method and program of semiconductor device TWI618813B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016065707A JP6368732B2 (ja) 2016-03-29 2016-03-29 基板処理装置、半導体装置の製造方法及びプログラム

Publications (2)

Publication Number Publication Date
TW201734254A TW201734254A (zh) 2017-10-01
TWI618813B true TWI618813B (zh) 2018-03-21

Family

ID=59960698

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105120570A TWI618813B (zh) 2016-03-29 2016-06-29 Substrate processing apparatus, manufacturing method and program of semiconductor device

Country Status (5)

Country Link
US (1) US20170283945A1 (zh)
JP (1) JP6368732B2 (zh)
KR (1) KR101848370B1 (zh)
CN (1) CN107240562A (zh)
TW (1) TWI618813B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6832154B2 (ja) * 2016-12-27 2021-02-24 東京エレクトロン株式会社 パージ方法
EP3778475A4 (en) * 2018-03-26 2021-11-24 Suzhou Jernano Carbon Co., Ltd. SYSTEM FOR MANUFACTURING CARBON NANOTUBES
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7169865B2 (ja) * 2018-12-10 2022-11-11 東京エレクトロン株式会社 基板処理装置および基板処理方法
US11538716B2 (en) * 2019-03-22 2022-12-27 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
US11335591B2 (en) 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
KR102628919B1 (ko) * 2019-05-29 2024-01-24 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
KR20210004024A (ko) * 2019-07-03 2021-01-13 주성엔지니어링(주) 기판처리장치용 가스공급장치
TW202133365A (zh) * 2019-09-22 2021-09-01 美商應用材料股份有限公司 使用具有可調式泵的處理腔室蓋的ald循環時間縮減
CN111048451B (zh) * 2019-12-20 2022-11-25 浙江爱旭太阳能科技有限公司 气体流通装置、退火炉以及对太阳能电池进行退火的方法
JP7182577B2 (ja) * 2020-03-24 2022-12-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11515176B2 (en) 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
JP7042880B1 (ja) 2020-09-24 2022-03-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、およびプログラム
CN112553594B (zh) * 2020-11-19 2022-10-21 北京北方华创微电子装备有限公司 反应腔室和半导体工艺设备
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
JP7114763B1 (ja) * 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
JP7260578B2 (ja) * 2021-03-19 2023-04-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、および、プログラム
KR102583557B1 (ko) * 2021-05-26 2023-10-10 세메스 주식회사 기판 처리 설비의 배기 장치 및 배기 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008227143A (ja) * 2007-03-13 2008-09-25 Hitachi Kokusai Electric Inc 基板処理装置
TW201603160A (zh) * 2014-07-04 2016-01-16 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及程式

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3138304B2 (ja) * 1991-10-28 2001-02-26 東京エレクトロン株式会社 熱処理装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
KR100300096B1 (ko) * 1994-06-07 2001-11-30 히가시 데쓰로 처리장치,처리가스의공급방법및처리장치의크리닝방법
JP3534940B2 (ja) * 1995-04-20 2004-06-07 株式会社荏原製作所 薄膜気相成長装置
JP3297288B2 (ja) * 1996-02-13 2002-07-02 株式会社東芝 半導体装置の製造装置および製造方法
JP3772621B2 (ja) * 2000-02-03 2006-05-10 株式会社日鉱マテリアルズ 気相成長方法および気相成長装置
JP2003100736A (ja) * 2001-09-26 2003-04-04 Hitachi Kokusai Electric Inc 基板処理装置
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2009231401A (ja) * 2008-03-21 2009-10-08 Tokyo Electron Ltd 載置台構造及び熱処理装置
US20120244684A1 (en) * 2011-03-24 2012-09-27 Kunihiko Suzuki Film-forming apparatus and method
JP5726281B1 (ja) * 2013-12-27 2015-05-27 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6306386B2 (ja) * 2014-03-20 2018-04-04 株式会社日立国際電気 基板処理方法、基板処理装置およびプログラム
JP5762602B1 (ja) * 2014-06-24 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP5960758B2 (ja) * 2014-07-24 2016-08-02 東京エレクトロン株式会社 基板処理システムおよび基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008227143A (ja) * 2007-03-13 2008-09-25 Hitachi Kokusai Electric Inc 基板処理装置
TW201603160A (zh) * 2014-07-04 2016-01-16 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及程式

Also Published As

Publication number Publication date
JP2017183393A (ja) 2017-10-05
KR20170112873A (ko) 2017-10-12
US20170283945A1 (en) 2017-10-05
JP6368732B2 (ja) 2018-08-01
KR101848370B1 (ko) 2018-05-28
TW201734254A (zh) 2017-10-01
CN107240562A (zh) 2017-10-10

Similar Documents

Publication Publication Date Title
TWI618813B (zh) Substrate processing apparatus, manufacturing method and program of semiconductor device
TWI633578B (zh) Substrate processing apparatus, manufacturing method and program of semiconductor device
TWI634230B (zh) Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
TWI605151B (zh) Substrate processing apparatus, gas rectifier, method of manufacturing semiconductor device, and recording medium having recorded program
TWI678775B (zh) 基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體
KR101725902B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
TWI524388B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
KR101576135B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101579503B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101749434B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20170026036A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
TWI660472B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
TW201624583A (zh) 基板處理裝置,半導體裝置之製造方法及記錄有程式之記錄媒體
JP2020176296A (ja) 基板処理装置、基板処理システム及び基板処理方法