KR101036087B1 - 낮은 유전상수 물질에 대한 익스-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스 - Google Patents

낮은 유전상수 물질에 대한 익스-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스 Download PDF

Info

Publication number
KR101036087B1
KR101036087B1 KR1020087027241A KR20087027241A KR101036087B1 KR 101036087 B1 KR101036087 B1 KR 101036087B1 KR 1020087027241 A KR1020087027241 A KR 1020087027241A KR 20087027241 A KR20087027241 A KR 20087027241A KR 101036087 B1 KR101036087 B1 KR 101036087B1
Authority
KR
South Korea
Prior art keywords
semiconductor material
plasma
polymer
hydrogen
backside
Prior art date
Application number
KR1020087027241A
Other languages
English (en)
Other versions
KR20090023348A (ko
Inventor
제랄도 에이. 델가디노
인드라짓 라히리
테흐-티엔 수
브리안 시-유안 쉬이흐
아쇽 케이. 신하
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090023348A publication Critical patent/KR20090023348A/ko
Application granted granted Critical
Publication of KR101036087B1 publication Critical patent/KR101036087B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

포토레지스트 마스크를 이용하여 다공성 탄소-도핑된 이산화 실리콘 유전체 층을 식각하기 위한 플라즈마 식각 프로세스는 포토레지스트 마스크 상에 보호성 탄화불소 폴리머를 증착하면서, 유전체 층의 노출 부분들을 식각하기 위해 반도체 소재 상에 탄화불소 기반의 식각 프로세스를 수행함으로써 실시된다. 그 다음, 애슁 반응기에서, 반도체 소재를 100℃를 초과하게 가열하는 단계; 반도체 소재의 후면의 주변부를 노출시키는 단계; 및 반도체 소재의 후면으로부터 폴리머가 제거될 때까지 반도체 소재 상의 폴리머와 포토레지스트에 포함된 탄소를 환원시키기 위해, 수소 프로세스 가스의 플라즈마로부터 생성물들을 제공하는 단계에 의해, 폴리머와 포토레지스트가 제거된다. 프로세스 가스는 주 성분이 수소 가스이긴 하지만, 수소 가스와 수증기를 둘 다 포함하는 것이 바람직하다. 웨이퍼(반도체 소재) 후면은 웨이퍼 리프트 핀들을 연장함으로써 노출될 수 있다.

Description

낮은 유전상수 물질에 대한 익스-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스{PLASMA DIELECTRIC ETCH PROCESS INCLUDING EX-SITU BACKSIDE POLYMER REMOVAL FOR LOW-DIELECTRIC CONSTANT MATERIAL}
본 발명은 낮은 유전상수 물질에 대한 익스-시튜(ex-situ) 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스에 관한 것이다.
집적회로 성능은 소자 스위칭 속도를 증가시키고, 상호접속 밀도를 증가시키며, 인접 전도체들 간의 누화(cross-talk)를 감소시킴으로써, 지속적으로 개선되고 있다. 다공성 탄소-도핑된 이산화 실리콘과 같은 낮은 유전상수를 갖는 새로운 유전체 박막 물질을 사용함으로써 스위칭 속도가 증가되었고 누화가 감소되었다. 상호접속들은 상호접속된 전도층들의 수를 증가시키고 피쳐 크기(예, 라인 폭, 홀 직경)를 감소시킴으로써 증가되었다. 그러한 깊은 층들 간의 접속은 높은 종횡비(깊고 좁은) 전도체 개구들 또는 "비아들(vias)"을 필요로 한다. 그러한 미세한 피쳐들은 보다 짧은 파장들에 적용가능한 포토레지스트(포토리소그래피를 위한)를 요구하였다. 그러한 포토레지스트는 보다 얇아지는 경향이 있고 유전체 식각 프로세스 동안 핀 홀들 또는 줄무늬와 같은 결함들을 형성하기 쉽다. 이러한 문제는 포토레지스트 상에 보호성 탄화불소 폴리머를 증착하기 위해, 유전체 삽입층 절연 막의 플라즈마 식각 동안 탄화불소 화학제를 사용함으로써 해결된다. 폴리머는 웨이퍼 상에 수행되어야 하는 오염있는 이후의 프로세스 단계들을 방지하기 위해 식각 프로세스 이후에 웨이퍼로부터 제거되어야 한다. 따라서, 사후-식각 폴리머 제거 단계가 수행된다. 그러나, 사후-식각 폴리머 제거 단계에서, 증착된 모든 폴리머를 제거하기는 어렵다. 이는 일부 폴리머가 웨이퍼 엣지와 웨이퍼 페디스털 주변의 링 칼라(ring collar) 프로세스 키트 사이의 갭을 통과하여 주변의 웨이퍼 후면 상에 축적되기 때문이다. 그러한 갭은 플라즈마 식각 프로세스의 온도 제어 요구조건들을 충족시키도록 냉각된 표면에 웨이퍼를 가압 클램핑하는 정전척(ESC)과의 간섭을 방지하기 위해 요구된다. 웨이퍼 엣지-대-링 칼라 갭은 플라즈마가 관통하여 사후-식각 폴리머 제거 단계 동안 웨이퍼 후면으로부터 폴리머를 제거하기에는 너무 좁다. 따라서, 이러한 문제에 대한 종래의 방법은 탄소-함유 물질들(폴리머 및 포토레지스트와 같은)을 산화시키기 위해 사후-식각 폴리머 제거 단계에서 산소 플라즈마를 사용한 다음, 액체 HF 산에 웨이퍼를 딥핑하는 것이였다. 이러한 단계는 간단한 원격 플라즈마 소스에 의해 상대적으로 높은 웨이퍼 온도들(예, 300℃ 이상)을 가질 수 있는 가열된 웨이퍼 지지 페디스털을 구비한 비교적 저렴한 별도의 "애슁" 챔버를 이용할 수 있다. 이러한 프로세스는 강력한 물질인 이산화 실리콘과 같은 종래의 유전체 물질에 유해하지 않다. 그러나, 그러한 산화 프로세스는 다공성 탄소-도핑된 이산화 실리콘과 같은 보다 새로운 낮은 유전상수 절연체 물질들에 매우 유해하다. 사후-식각 세정 단계의 산화 화학제는 탄소-도핑된 이산화 실리콘 유전체 물질로부터 탄소를 제거하고, 탄소는 대기로부터의 물로 대체된다. 이는 절연체의 유전상수를 크게 증가시켜, 그 주요한 장점이 없어진다. 그러한 손상은 프로파일 이미지에서 보여지는 유전체층 측벽들의 언더컷팅(undercutting)으로서 명백하다. 이러한 언더컷팅은 사후-식각 세정 단계 이후에 희석 산에 웨이퍼를 딥핑할 때 나타난다. 다른 문제는 본 출원인의 조사에 따르면 그러한 산화 프로세스가 60초 이후에도 후면 폴리머를 완전히 제거하지 못한다는 점이다.
따라서, 임의의 연장 프로세스 시간을 요구함 없이 낮은 유전상수 절연체 물질을 손상시키지 않으면서 웨이퍼 후면으로부터 폴리머를 신속하고 완전하게 제거하는 방법이 필요하다.
포토레지스트 마스크를 이용하여 다공성 탄소-도핑된 실리콘 산화물 유전체층을 식각하기 위한 플라즈마 식각 프로세스는 포토레지스트 마스크 상에 보호성 탄화 불소 폴리머를 증착하면서, 유전체층의 노출 부분들을 식각하기 위해 반도체 소재(workpiece) 상에 탄화 불소 기반의 식각 프로세스를 수행함으로써, 식각 반응기 내에서 먼저 수행된다. 그 다음, 애슁 반응기에서, 반도체 소재를 100℃를 초과하게 가열시키고, 상기 반도체 소재의 후면의 주변부를 노출시키며, 수소 프로세스 가스의 플라즈마로부터의 생성물들을 제공하여 폴리머가 상기 반도체 소재의 후면으로부터 제거될 때까지 상기 반도체 소재 상의 폴리머와 포토레지스트에 포함된 탄소를 환원시킴으로써, 폴리머와 포토레지스트가 제거된다. 프로세스 가스는 주 성분이 수소 가스이긴 하지만, 수소 가스와 수증기를 모두 포함하는 것이 바람직하다. 웨이퍼(반도체 소재) 후면은 웨이퍼 리프트 핀들을 연장함으로써 노출될 수 있다.
도 1은 본 발명을 구현하는 프로세스를 도시하는 블럭 흐름도이다.
도 2는 도 1의 프로세스에 의해 생성되는 소자를 도시한다.
도 3은 본 발명을 수행하기 위한 바람직한 플라즈마 식각 반응기를 도시한다.
도 4A는 애슁 챔버에서 수행되는 본 발명의 프로세스 단계를 도시한다.
도 4B는 리프트 핀들을 연장시킬 필요 없이 웨이퍼 후면 엣지가 노출되는 대안적인 실시예의 애슁 챔버에서 수행되는 본 발명의 프로세스 단계를 도시한다.
도 5는 폴리머 제거 단계 이전(곡선) 및 본 발명(직선)에서 획득된 폴리머 두께의 방사상 분포를 도시하는 그래프이다.
도 6은 본 발명의 추가적인 실시예에 따른 처리 시스템을 도시한다.
본 발명은 사후 식각 폴리머 제거 단계를 포함하는 낮은 유전상수 물질에 대한 식각 프로세스의 발견을 기반으로 하며, 사후 식각 폴리머 제거 단계는 낮은 유전상수 절연층(예, 다공성 탄소-도핑된 이산화 실리콘)에 대한 손상 없이 후면 폴리머를 60초 미만에서 완전히 제거한다. 본 발명에 따른 식각 프로세스는 도 1에 도시되고, 도 2는 도 1의 프로세스를 이용하여 형성될 수 있는 박막 구조물의 일 예를 도시한다. 도 2에 도시된 포토레지스트 마스크(10)는 유전체층(12)상에 증착되고, 마스크(10)는 유전체층(12)에서 식각될 피쳐(18)에 대응되는 개구(10a)를 갖 는다. 이는 도 1의 블럭(16)의 단계에 해당한다. 피쳐는 좁은 비아(18)일 수 있다. 비아(18)는 유전체층(12)과 배리어층(20)을 통해 연장하여 구리 라인(22)의 최상부면을 노출시킨다. 유전체층은 다공성 탄소-도핑된 이산화 실리콘과 같은 낮은 유전상수 물질이다. 배리어층(20)은 탄화 실리콘일 수 있다. 도 1의 블럭(24)의 단계에서, 도 2의 비아(18)는 탄화불소-함유 프로세스 가스의 플라즈마를 이용하여, 점선 위에 놓이는 유전체 물질(12)의 부분을 식각함으로써 형성된다. 이러한 단계는 웨이퍼(28)를 처리하기 위한 도 3에 도시된 타입의 용량성 결합된 플라즈마 식각 반응기와 같은, 플라즈마 식각 반응기에서 수행된다. 도 3의 식각 반응기는, 측벽(30); 가스 패널(32a)에 의해 공급되고, 임피던스 정합(33b)을 통해 RF 플라즈마 소스 전력 생성기(33a)에 의해 RF-구동되는, 오버헤드 전극/가스 샤워헤드(32); DC 척킹 전압 제어기(36a)에 의해 제어되고, 임피던스 정합(37b)을 통해 RF 바이어스 생성기(37a)에 의해 구동되는, 내부 전극(36)을 구비한 정전 척(34); 및 척(34) 넘어로 연장하는 웨이퍼(28)의 주변 부분 아래에 놓이는 링 칼라(38) 또는 프로세스 키트를 포함한다. 칼라(38)와 웨이퍼(28)의 후면 사이의 갭(39)은 DC 척킹 전압이 전극(36)에 인가될 때 정전 척(34)의 웨이퍼-클램핑 기능에 의해 칼라(38)에 의한 간섭을 방지한다. 도 1의 블럭(24)의 식각 단계 동안, 탄화불소 프로세스 가스는 간단한 탄화불소 식각 종(species), 및 보다 무거운 또는 고탄소(carbon-rich) 폴리머 형성 종으로 분해되어, 도 2의 포토레지스트(10)상에 보호층(11)을 형성한다. 폴리머-형성 종들은 웨이퍼-칼라 갭을 통해 이동하여 웨이퍼(28)의 후면 상에 환형 후면 폴리머 층(40)을 형성한다.
다음 단계인 도 1의 블럭(42)에서, 웨이퍼(28)가 도 3의 식각 챔버로부터 제거되고, 도 4A에 도시된 애슁 챔버(29)에 배치된다. 도 4A의 애슁 챔버(29)의 기본적인 특징은 가열된 웨이퍼 지지부(50)를 갖고, 초고온(예, 400℃) 및 고압(예, 수 Torr)으로 웨이퍼를 가열하도록 설계되며, 상대적으로 저비용의 수단으로서 원격 플라즈마 소스(54)를 사용한다는 점이다. 대조적으로, 도 3의 식각 챔버는 허용가능한 식각 성능을 달성하기 위해 정확한 온도로 웨이퍼를 냉각시켜야 하고, 이에 따라 웨이퍼를 냉각 표면에 클램핑하기 위해 정전 척(34)을 사용해야 하며, 정전 척(34)은 전형적으로 웨이퍼를 단지 60℃로 가열할 수 있고, 반응기는 밀리토르 범위의 매우 낮은 압력들에서 작동한다. 도 4A의 애슁 챔버는 웨이퍼(28)를 수백 ℃로 가열할 수 있는 웨이퍼 지지부(50) 내의 히터(52)를 갖는다. 원격(remote) 플라즈마 소스(54)는 프로세스 가스 공급부(56)에 의해 제공되는 프로세스 가스로부터 플라즈마를 생성한다. 웨이퍼(28)는 종래의 리프트 핀들(58)에 의해 웨이퍼 지지부(50) 위로 하강 및 승강될 수 있다. 도 4A에 도시된 바이어스 전력 생성기(37a), 임피던스 정합부(37b) 및 전극(36)은 선택사항이며 애슁 챔버에 필수적으로 요구되는 것이 아니다. 대안적인 모드로서, 도 4B의 애슁 챔버가 도 4A의 애슁 챔버 대신에 사용될 수 있다. 도 4B의 애슁 챔버는 도 4B에서, 웨이퍼 지지부(50)가 웨이퍼(28)의 직경 미만인 직경을 가지므로 리프트 핀들을 연장할 필요 없이 웨이퍼 후면의 주변부가 노출된다는 점에서, 도 4A의 애슁 챔버와 상이하다. 도 4B의 애슁 챔버는 일반적으로, ESC 전극(36) 및 바이어스 전력 생성기(37a) 또는 정합부(37b)를 필수적으로 포함하지 않을 수 있다. 도 4B의 변형된 애슁 챔버를 사용할 때, 리프트 핀들을 연장하는 블럭(44c)의 단계는 생략될 수 있다.
다음 단계인 도 1의 블럭(44)에서, 도 3의 후면 폴리머 막(3)과 도 2의 포토레지스트 마스크(10)가 제거된다. 이러한 단계는 웨이퍼(28)가 웨이퍼 지지부(50)와 접촉되도록 수축되는 리프트 핀들(58)을 구비한 가열된 웨이퍼 지지부(50) 상에 웨이퍼(28)를 배치한 다음, 웨이퍼(28)를 초고온(예, 200-300℃)으로 가열함으로써 시작되며, 이는 도 1의 블럭(44a)의 단계에 대응된다. 그 다음, 블럭(44b)의 단계에서, 수소 가스와 수증기가 원격 플라즈마 소스(54)로 유입되고, 플라즈마 RF 소스 전력(7500와트의 크기)이 원격 플라즈마 소스(RPS)에 인가되어 플라즈마를 생성한다. RPS(54)로부터의 플라즈마 생성물들(예, 중성자들)은 애슁 챔버(29)의 내부에 도달하여 폴리머가 환원되어(산화되는 것이 아니라) 웨이퍼로부터 제거되는 환경을 생성한다. 환원제는 수소이다. 챔버에서 자유(free) 수소의 분포가 추가적인 수소의 첨가에 의해서 보다는 물의 첨가에 의해 더 증가된다는 것이 발견되었기 때문에, 수소 프로세스 가스에 수증기를 포함시키는 것이 바람직하다. 본 출원인은 수증기의 첨가에 의해 수소 라인 양의 불균형한 증가를 나타내는 이러한 현상을 광 방출 분광계를 통해 관찰하였다. 애슁 챔버에서의 수소의 이러한 증가는 폴리머가 환원되는 비율을 증가시킨다. 그 다음 단계(도 1의 블럭(44c))는 웨이퍼 지지부(50) 위로 웨이퍼(28)를 승강시키기 위해 리프트 핀들(58)을 연장시켜서 웨이퍼 후면을 노출시키는 것이다. 이러한 단계는 매우 짧은 시간 주기 동안(예, 60초) 수행되고, 그 동안 모든 후면 폴리머 막(40)이 완전히 제거된다. 60초 미만에서 후면 폴리머와 포토레지스트를 완전히 제거하기 위해 애슁 반응기(29)에서 환원 화학제(예, 수소-기질)가 사용될 수 있다는 것은 본 발명의 발견이다. 명백하게, 프로세스 가스의 수소는 탄화불소 화합물들을 형성함으로써 폴리머의 탄소를 환원시키지만, 다공성 탄소-도핑된 이산화 실리콘 유전체 물질(도 2의 절연층(12))의 탄소를 거의 환원시키지 않거나 전혀 환원시키지 않는다.
보다 낮은 웨이퍼 온도들에서 폴리머 제거 프로세스가 보다 오래 수행되어 그 동안 도 2의 낮은 유전상수 절연층(12)에 대한 손상이 무시가능한 레벨을 초과하여 증가하기 때문에, 블럭(44a)의 웨이퍼 가열 단계가 중요하다는 것을 발견했다.
또 다른 놀라운 점은 환원 또는 수소-기질 화학제가 종래의 산화 화학제보다 후면 폴리머를 보다 완전하고 신속하게 제거하는 것이 발견되었다는 점이다. 상이한 2개의 웨이퍼들로부터 후면 폴리머 두께의 측정치가 도 5의 그래프에 도시된다. 도 5의 급격한 곡선은 폴리머 제거 단계를 수행하기 이전에 웨이퍼의 상태를 나타내며, 60초의 산화 식각 단계 이후 남겨진 많은 양의 후면 폴리머를 나타낸다. 도 5의 평탄한 곡선은 도 1의 방법으로 달성되며, 여기서 환원 화학제가 애슁 반응기(29)에 사용되며, 모든 후면 폴리머의 완전한 제거를 나타낸다. 따라서, 하나의 놀라운 결과는 환원 화학제가 산화 화학제보다 더 빨리 작용한다는 점이다. 다른 놀라운 결과는 환원 화학제가 낮은 유전상수 절연체 물질(12)에 대한 관찰가능한 손상을 초래하지 않는다는 점이다(반면에, 산화 화학제는 낮은 유전상수 절연체 물질에 대한 큰 손상을 초래함). 다공성 탄소-도핑된 이산화 실리콘 유전체 박막에 대한 손상은 유전체 식각 단계를 수행한 다음, 후면 폴리머 제거 단계를 수행하고 마지막으로 희석 HF에 웨이퍼를 딥핑함으로써 본 발명의 검사들에서 확인되었다. 그 이후에, 식각 프로파일의 스캐닝 전자 현미경 이미지가 획득되었다. 종래의 산화 사후-식각 폴리머 제거 기술을 이용하여 처리되는 웨이퍼들에 대하여, 식각 프로파일 이미지들은 식각된 구조물들의 많은 언더컷팅을 나타내었다. 그러나, 사후 식각 후면 폴리머 제거 단계에서 환원 화학제를 사용하는 그러한 웨이퍼들에 대하여, 다공성 탄소-도핑된 이산화 실리콘에 대한 손상 또는 언더컷팅은 식각 프로파일의 SEM 이미지들에서 거의 보이지 않았고, 언더컷팅은 겨우 2nm 이하(무시할 수 있을 정도의 양)이다. 이러한 단계는 탄소-기질 막들의 제거에 충분하고 효율적이며, 모든 포토레지스트가 후면 폴리머 제거와 동시에 제거된다.
블럭(42)의 단계에서 웨이퍼(28)를 이송하는 한가지 장점은 각각의 식각 단계를 수행하기 이전에 도 3의 식각 반응기의 내부를 세정하기 위한 기회가 생긴다는 점이다. 세정은 챔버 내부 표면들로부터 폴리머를 신속하게 식각하는 플라즈마 함유 종(산소 또는 암모니아 또는 그 외)을 이용하여 수행될 수 있다. 그러한 개재된 챔버 세정 단계의 장점은 종래의 식각 단계들로부터 폴리머가 챔버에 누적되지 않으므로 후속적인 식각 단계들 동안 불소 또는 다른 물질들을 방출시키지 않는다는 점이다. 따라서, 식각 챔버는 블럭(42)의 웨이퍼 이송 단계의 종료시에 블럭(46)의 단계에서 세정된다.
본 발명은 낮은 유전상수 절연체 막들의 존재에서 후면 폴리머 제거의 문제를 해결하기 위해서 뿐만 아니라, 기본 비용의 불균형한 증가 없이 식각 생산성을 3배 또는 4배 증가시키기 위해 사용될 수 있다. 종래의 방식에서, 비아 또는 트렌치(예를 들어)를 형성하기 위해 유전체 물질을 식각하는 주요 단계로 시작되고, 애슁 반응기에서 포토레지스트와 폴리머의 제거로 종료되는, 전체 플라즈마 식각 프로세스는 약 400초 동안 수행되며, 여기서 160초는 애슁 반응기에서 소모되고 나머지(240초)는 식각 반응기에서 소모된다. 60초 미만 또는 40초 만큼 짧게 수행되는 폴리머와 포토레지스트 제거 단계의 발견은 기본 비용의 증가 없이 전체 식각 생산성을 3배 또는 4배 증가시킬 수 있게 한다. 도 6은 이것이 어떻게 달성되는지를 나타낸다. 단일 툴에서, 중심 웨이퍼 이송 유닛(100)은 도 4에 도시된 타입의 하나의 애슁 반응기(102), 및 도 3에 도시된 타입의 3개 또는 4개의 식각 반응기들(104a, 104b, 104c, 104d)에 결합된다. 도 6의 툴은 이하의 프로시저에 따라 동작된다: 4개의 식각 반응기들(104)에 의해 수행되는 단일 식각 단계의 240초 시간 주기 동안, 애쉬 반응기는 4개의 식각 반응기들(104)에 의해 이전에 처리된 4개의 상이한 웨이퍼들 상에서 후면 폴리머와 포토레지스트 제거를 연속적으로 수행하고, 식각 반응기들(104)에서 동시에 수행되는 240초의 식각 프로세스의 종료시에, 애쉬 반응기(102)는 4개의 식각 반응기들에 의해 처리되는 현재의 4개의 웨이퍼들의 세트에서 동일한 작업을 반복하기 위해 대기된다.
블럭(44)의 후면 폴리머 제거 단계의 일 예에서, 7500와트의 RF 소스 전력이 애슁 반응기(29)(도 4)의 원격 플라즈마 소스(54)에 인가되면서, 7500sccm의 수소 가스와 350sccm의 수증기가 RPS(54)에 공급되며, 애슁 반응기 챔버 압력은 3 Torr이다. 일반적으로, RPS(54)에서 플라즈마를 생성시키는 프로세스 가스는 주로 순수 수소 가스로서, 적어도 그 일부분은 자유 수소로 해리(dissociate)된다. 수증기 함량은 프로세스 가스의 수소 함량의 분율이며, 수증기 함량은 광 방출 분광계에 의해 나타낸 것처럼, 가스의 자유 수소 함량을 최대화하기 위해 조절된다. 수증기 유속은 전형적으로, RPS(54) 또는 플라즈마 생성 영역으로의 수소 가스 유속의 분율로서, 수소 유속의 1/10 또는 1/20만큼 적다(상기 예에서처럼). 프로세스 가스로부터 수증기를 제거할 수 있지만, 그러한 선택은 바람직하지 않다.

Claims (18)

  1. 플라즈마 식각 프로세스로서,
    다공성 탄소-도핑된 실리콘 산화물 유전체 층을 갖는 반도체 소재(workpiece)를 제공하는 단계;
    상기 반도체 소재의 표면 상에 포토레지스트 마스크를 형성하는 단계;
    식각 반응기에서, 상기 포토레지스트 마스크 상에 보호성 탄화불소 폴리머를 증착하면서, 상기 유전체 층의 노출 부분들을 식각하기 위해 상기 반도체 소재 상에 탄화불소 기반의 식각 프로세스를 수행하는 단계;
    상기 반도체 소재를 애슁 반응기로 이송하는 단계;
    상기 애슁 반응기에서,
    상기 반도체 소재를 100℃를 초과하게 가열하는 단계;
    상기 반도체 소재의 후면의 주변부를 노출시키는 단계; 및
    상기 폴리머가 상기 반도체 소재의 후면으로부터 제거될 때까지 상기 반도체 소재 상에서 폴리머와 포토레지스트를 환원시키기 위해, 수소 프로세스 가스의 플라즈마로부터 생성물들을 제공하는 단계
    를 포함하는, 플라즈마 식각 프로세스.
  2. 제 1 항에 있어서,
    상기 수소 프로세스 가스는 순수(pure) 수소를 포함하는, 플라즈마 식각 프로세스.
  3. 제 1 항에 있어서,
    상기 수소 프로세스 가스는 수소 가스 및 수증기를 포함하는, 플라즈마 식각 프로세스.
  4. 제 1 항에 있어서,
    상기 반도체 소재의 후면의 주변부를 노출시키는 단계는 상기 반도체 소재를 상승시키고 상기 반도체 소재의 후면을 노출시키기 위하여 상기 애슁 반응기의 웨이퍼 지지부에서 리프트 핀들을 연장하는 단계를 포함하는, 플라즈마 식각 프로세스.
  5. 제 1 항에 있어서,
    상기 반도체 소재의 후면의 주변부를 노출시키는 단계는 상기 반도체 소재의 직경 미만인 직경을 갖는 상기 애슁 반응기에 웨이퍼 지지부를 제공하는 단계를 포함하는, 플라즈마 식각 프로세스.
  6. 제 1 항에 있어서,
    상기 폴리머를 환원시키기 위해 상기 수소 프로세스 가스의 플라즈마로부터 생성물들을 제공하는 단계는 상기 반도체 소재의 후면으로부터 모든 폴리머가 제거될 때까지 수행되는, 플라즈마 식각 프로세스.
  7. 제 1 항에 있어서,
    상기 폴리머를 환원시키기 위해 상기 수소 프로세스 가스의 플라즈마로부터 생성물들을 제공하는 단계는 상기 반도체 소재로부터 모든 폴리머와 포토레지스트가 제거될 때까지 수행되는, 플라즈마 식각 프로세스.
  8. 제 1 항에 있어서,
    제 1 속도로 수소 가스를 그리고 제 2 속도로 수증기를 플라즈마 생성 영역으로 유동시킴으로써 상기 플라즈마를 생성하는 단계를 더 포함하고, 상기 제 1 속도는 상기 제 2 속도를 초과하는, 플라즈마 식각 프로세스.
  9. 제 8 항에 있어서,
    상기 제 1 속도는 상기 제 2 속도의 10배보다 큰, 플라즈마 식각 프로세스.
  10. 제 8 항에 있어서,
    상기 제 1 속도는 상기 제 2 속도의 20배보다 큰, 플라즈마 식각 프로세스.
  11. 제 8 항에 있어서,
    상기 플라즈마 생성 영역은 상기 애슁 반응기에 결합된 원격(remote) 플라즈마 소스를 포함하고, 상기 수소 가스와 상기 수증기를 플라즈마 생성 영역으로 유동시키는 단계는 상기 수소 가스와 상기 수증기를 상기 원격 플라즈마 소스로 유동시키는 단계를 포함하는, 플라즈마 식각 프로세스.
  12. 제 1 항에 있어서,
    상기 반도체 소재를 상기 애슁 반응기로 이송한 이후, 상기 식각 반응기의 내부 챔버 표면들로부터 폴리머를 제거하기 위해 상기 식각 반응기에서 플라즈마 세정 단계를 수행하는 단계를 더 포함하는, 플라즈마 식각 프로세스.
  13. 플라즈마 식각 프로세스로서,
    탄소-도핑된 실리콘 산화물 유전체 층을 각각 갖는 다수의 반도체 소재들을 제공하는 단계;
    각각의 상기 반도체 소재의 표면 상에 포토레지스트 마스크를 형성하는 단계;
    다수의 식각 반응기들에서, 각각의 상기 포토레지스트 마스크 상에 보호성 탄화불소 폴리머를 증착하면서, 각각의 상기 유전체 층들의 노출 부분들을 식각하기 위해, 각각의 상기 식각 반응기들에서 동시적으로 각각의 상기 반도체 소재 상에 탄화불소 기반의 식각 프로세스를 수행하는 단계; 및
    각각의 상기 식각 반응기에서 상기 탄화불소 기반의 식각 프로세스를 한번 수행하는데 요구되는 시간 윈도우를 초과하지 않는 시간 윈도우 동안, 연속하는 상기 다수의 반도체 소재들 상에 하기의 단계들을 애슁 반응기에서 수행하는 단계
    를 포함하고, 상기 하기의 단계들은,
    상기 반도체 소재를 100℃를 초과하게 가열하는 단계;
    상기 반도체 소재의 후면의 주변부를 노출시키는 단계; 및
    상기 폴리머가 상기 반도체 소재의 후면으로부터 제거될 때까지 상기 반도체 소재 상에서 폴리머와 포토레지스트를 환원시키기 위해, 수소 프로세스 가스의 플라즈마로부터 생성물들을 제공하는 단계
    를 포함하는, 플라즈마 식각 프로세스.
  14. 제 13 항에 있어서,
    상기 애슁 반응기에서 수행되는 상기 단계들은, 상기 다수의 식각 반응기들에서 연속하는 세트의 상기 반도체 소재들 상에 상기 식각 프로세스가 동시적으로 반복되는 동안 수행되는, 플라즈마 식각 프로세스.
  15. 제 13 항에 있어서,
    상기 수소 프로세스 가스는 순수 수소를 포함하는, 플라즈마 식각 프로세스.
  16. 제 13 항에 있어서,
    상기 수소 프로세스 가스는 수소 가스 및 수증기를 포함하는, 플라즈마 식각 프로세스.
  17. 제 13 항에 있어서,
    상기 반도체 소재의 후면의 주변부를 노출시키는 단계는 상기 반도체 소재를 상승시키고 상기 반도체 소재의 후면을 노출시키기 위하여 상기 애슁 반응기의 웨이퍼 지지부의 리프트 핀들을 연장하는 단계를 포함하는, 플라즈마 식각 프로세스.
  18. 제 13 항에 있어서,
    상기 반도체 소재의 후면의 주변부를 노출시키는 단계는 상기 반도체 소재의 직경 미만인 직경을 갖는 상기 애슁 반응기의 웨이퍼 지지부를 제공하는 단계를 포함하는, 플라즈마 식각 프로세스.
KR1020087027241A 2006-04-11 2007-04-07 낮은 유전상수 물질에 대한 익스-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스 KR101036087B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/402,074 2006-04-11
US11/402,074 US7276447B1 (en) 2006-04-11 2006-04-11 Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material

Publications (2)

Publication Number Publication Date
KR20090023348A KR20090023348A (ko) 2009-03-04
KR101036087B1 true KR101036087B1 (ko) 2011-05-19

Family

ID=38535762

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087027241A KR101036087B1 (ko) 2006-04-11 2007-04-07 낮은 유전상수 물질에 대한 익스-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스

Country Status (6)

Country Link
US (1) US7276447B1 (ko)
EP (1) EP2011144A2 (ko)
KR (1) KR101036087B1 (ko)
CN (1) CN101421829A (ko)
TW (1) TWI363383B (ko)
WO (1) WO2007120573A2 (ko)

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US7432177B2 (en) * 2005-06-15 2008-10-07 Applied Materials, Inc. Post-ion implant cleaning for silicon on insulator substrate preparation
JP4705816B2 (ja) * 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN1978351A (zh) * 2005-12-02 2007-06-13 鸿富锦精密工业(深圳)有限公司 一种模仁保护膜的去除装置及方法
US7432209B2 (en) * 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US20080179008A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
US20080179288A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side scavenger plasma
US20080179287A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI487022B (zh) * 2013-04-18 2015-06-01 Univ Tohoku Method of treating inner wall of fine pores
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9520301B2 (en) * 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10361091B2 (en) * 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10811256B2 (en) * 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109727857B (zh) * 2018-12-29 2021-06-15 上海华力集成电路制造有限公司 干法刻蚀方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020121540A1 (ja) * 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11222805B2 (en) * 2020-04-01 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and methods of cleaning thereof
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6417083B1 (en) 1998-11-13 2002-07-09 Seiko Epson Corporation Methods for manufacturing semiconductor devices
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) * 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
US6733594B2 (en) * 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6777334B2 (en) * 2002-07-03 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd Method for protecting a wafer backside from etching damage

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6417083B1 (en) 1998-11-13 2002-07-09 Seiko Epson Corporation Methods for manufacturing semiconductor devices
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films

Also Published As

Publication number Publication date
TW200805495A (en) 2008-01-16
US7276447B1 (en) 2007-10-02
US20070238305A1 (en) 2007-10-11
CN101421829A (zh) 2009-04-29
EP2011144A2 (en) 2009-01-07
WO2007120573A3 (en) 2008-08-21
TWI363383B (en) 2012-05-01
KR20090023348A (ko) 2009-03-04
WO2007120573A2 (en) 2007-10-25

Similar Documents

Publication Publication Date Title
KR101036087B1 (ko) 낮은 유전상수 물질에 대한 익스-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스
KR101019931B1 (ko) 낮은 유전상수 물질에 대한 인-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스
US7402523B2 (en) Etching method
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
JP5414179B2 (ja) フォトレジスト及びエッチング残余物の低圧除去
TWI610364B (zh) 圖案化低k介電膜的方法
US8383519B2 (en) Etching method and recording medium
KR102083680B1 (ko) 유기 하드마스크들을 에칭하는 방법
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
KR20070086312A (ko) 잔류물 제거를 위한 사후-에칭 처리
US6325861B1 (en) Method for etching and cleaning a substrate
JPH06177092A (ja) 半導体装置の製造方法
TW507286B (en) Method and apparatus for fabricating semiconductor devices
JP4515309B2 (ja) エッチング方法
TW202217922A (zh) 在處理期間的基板輻射及其系統
JP2003243335A (ja) レジスト除去方法およびレジスト除去装置
WO2011081512A2 (en) Cleaning method for removing post via etch residue

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee