KR100934627B1 - 제조 특성을 측정하는 컴퓨터 구현 방법, 컴퓨터 구현 시스템, 컴퓨터 프로그램 및 컴퓨터 구현 프로그램 - Google Patents

제조 특성을 측정하는 컴퓨터 구현 방법, 컴퓨터 구현 시스템, 컴퓨터 프로그램 및 컴퓨터 구현 프로그램 Download PDF

Info

Publication number
KR100934627B1
KR100934627B1 KR1020037016659A KR20037016659A KR100934627B1 KR 100934627 B1 KR100934627 B1 KR 100934627B1 KR 1020037016659 A KR1020037016659 A KR 1020037016659A KR 20037016659 A KR20037016659 A KR 20037016659A KR 100934627 B1 KR100934627 B1 KR 100934627B1
Authority
KR
South Korea
Prior art keywords
wafer
wafers
measurement
plan
measured
Prior art date
Application number
KR1020037016659A
Other languages
English (en)
Other versions
KR20040063086A (ko
Inventor
아룰쿠마 피. 샨무가슨드람
알렉산더 티. 슈왐
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20040063086A publication Critical patent/KR20040063086A/ko
Application granted granted Critical
Publication of KR100934627B1 publication Critical patent/KR100934627B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Human Computer Interaction (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

측정되는 웨이퍼 (또는 다른 장치)와 관련하여 샘플링 계획의 동적 조정을 시스템, 방법, 및 매체에 제공한다. 샘플링 계획은 웨이퍼 상의 구역이며 결국 처리 이후 하나의 단일 칩으로 될 다이 내의 구체적인 측정 지점에 대한 정보를 제공한다. 측정용 후보인 다이 내의 구체적인 지점들이 있다. 저장된 다이 맵 정보는 웨이퍼에 대한 측정을 위해 이용가능한 지점을 판정하기 위해 수정되고 변환된다. 본 발명은 제조 공정 또는 결과에 영향을 미치는 내외부 변화를 나타낼 수 있는 하나 이상의 이벤트가 발생하는 경우 측정의 빈도 및/또는 공간 해상도를 조정한다. 측정에서의 증가와 처리에서의 있을법한 대응하는 감소는 필요에 따라서 발생한다. 웨이퍼의 추가적인 또는 다른 측정을 하는 것이 바람직하다는 것을 나타내는 어떤 이벤트에 대하여, 샘플링 계획으로부터 후보 지점을 더하거나, 빼거나 또는 교체함으로써, 동적 계측 계획은 웨이퍼 내 샘플링의 공간 해상도를 조정한다. 지점이 더해지거나, 빼지거나 또는 교체되는 구역에서 다이 맵에서의 많은 후보 지점이 주어진 경우, 시스템은 지점들 중에서 선택할 수 있다. 또한, 본 발명은 웨이퍼 간 측정의 빈도를 조정하는 것과 관련하여서도 사용될 수 있다.
웨이퍼, 동적 계측, 측정, 공정 제어, 샘플링 계획

Description

제조 특성을 측정하는 컴퓨터 구현 방법, 컴퓨터 구현 시스템, 컴퓨터 프로그램 및 컴퓨터 구현 프로그램{COMPUTER-IMPLEMENTED METHOD, COMPUTER-IMPLEMENTED SYSTEM, COMPUTER PROGRAM AND COMPUTER-IMPLEMENTED PROGRAM OF MEASURING THE MANUFACTURING CHARACTERISTIC}
본 발명은 공정 제어를 하는 동안 계측을 위한 컴퓨터 관련 및/또는 컴퓨터 지원 방법, 시스템, 및 컴퓨터 판독가능한 매체에 관한 것이다. 더 구체적으로, 향상된 공정 제어 방법을 하는 동안, 예를 들어 반도체 기술 제조의 제어를 하는 동안의 샘플링 및 계측 방법의 동적 조정에 관한 것이다.
웨이퍼 제조 기술에서, 웨이퍼가 미리 규정한 명세서(specification)에 따라서 생산되는 지를 보장하기 위해서, 처리 장치에 의해 제조될 때 웨이퍼에 대해 계측 도구에 의해 측정이 행해진다. 상기 측정은 막 두께 및 균일성, 도펀트(dopant) 농도, 게이트 길이 및 임계 치수(critical dimension) 등의 물리적 특성에 대해 행해진다. 이것이 "계측학(metrology)"이라고 알려진 것이다.
행해지는 측정은 전형적으로 "다이 맵(die map)"에서 구체화된다. 상기 다이 맵은 (복수의 칩이 하나의 웨이퍼 위에 형성되고 결국 하나의 웨이퍼로부터 절단되는 전형적인 상황에서) 상이한 칩(또는 다이)이 웨이퍼 상에 어디에 위치하는지 뿐만 아니라 각 다이 위의 코너(corner)와 같은 중요한 위치를 나타낸다. 예를 들어, 각 다이 위의 오른편 코너를 측정하기 위해서, 다이 맵에 따라서 웨이퍼 위의 복수의 지점(point)을 측정한다. 통상적으로, 다이 맵은 웨이퍼 위의 좌표점 또는 "계측 좌표"의 디지털 표시이다.
계측 좌표는 엔지니어에 의해 통상 제공되며, 엔지니어의 선호에 따라 달라진다. 계측 좌표는 종래 x, y좌표로 제공되었다.
"샘플링 계획", 혹은 "계측 계획"으로 불리는 것은 다이 맵으로부터 그려진 계측 좌표를 포함한다. 샘플링 계획은 어떤 측정을 할 것인지에 대한 구체적 계획을 나타낸다. 이러한 측정은 다이 맵에서의 가능한 점 및/또는 칩의 전부 또는 일부를 포함할 수 있다.
종래 계측 시스템은 처리 장치와 관련하여 어떤 웨이퍼를 측정할 것인가를 결정하는 샘플링 계획 및 계측 도구에 의해 이들 웨이퍼에 대해 행해질 측정을 배정(assign)한다. 예를 들어, 샘플링 계획은 미리 지정된 위치에서 각각 5번째 웨이퍼를 측정해야 한다고 규정할 수 있다. 이 샘플링 계획은 초기에 배정된 이후 변화하지 않고, 따라서 계측 시스템은 정적(static)이다.
불행하게도, 레시피(recipe)에서의 변화, 예방 관리(preventative maintenance), 소모품 변화, 환경 변화 또는 새로운 로트(lot)의 웨이퍼 등의 제조 공정에서 변화가 있는 경우, 제조 결과는 의도한 목표 또는 명세서로부터 벗어나 버릴 수 있다. 종래 계측 시스템은 명세서 제한 밖의 웨이퍼를 놓치는 경향이 있으며, 이것은 제조 결과를 변화시킬 수 있는 어떤 변화가 제조 공정에 도입되었는가를 고려하지 않고 일관된 공간 해상도(spatial resolution)를 갖는 측정을 일정하게 반복하는 거의 일관된 측정 방법을 이들 시스템이 사용하기 때문이다.
측정에는 시간이 소요되고, 측정 수의 증가는 생산성의 저하를 초래하기 때문에, 제조 시스템은 전형적으로 모든 웨이퍼의 측정을 요구하지 않는다. 한편, 더 적은 수의 웨이퍼를 측정할수록 웨이퍼 수율에 중대하게 영향을 미칠 수도 있는 공정 제어를 위한 임계 정보의 검출을 늦추게 만드는 경향이 있다. 종래 샘플링 시스템은 생산하는 동안 및/또는 이후에 웨이퍼를 샘플하지만, 이들 시스템은 생산하는 동안 웨이퍼에 대해 초기에 배정한 샘플링 계획을 조정하지는 않는다.
따라서, 제품의 품질을 향상시키기 위해서 동적 계측에 대한 요구가 있어 왔다. 반도체 웨이퍼의 경우, 생산 조건 하에서 각 명세서가 만족되는가를 더 잘 체크할 필요가 있다. 의도된 목표 결과로부터의 변동을 초래할 수 있는 레시피 파라미터와 같은 파라미터의 변화에 대응하고, 측정의 빈도 및/또는 공간 해상도를 조정할 필요도 있다. 불행하게도, 측정을 하는 것은 시간이 걸리며, 대부분의 처리 장치는 계측을 사용하여 웨이퍼를 특징화시키기 위해 계측 도구에 의해 행해질 필요가 있는 측정보다 더 빠르다. 따라서, 시스템 결과에 잠재적으로 영향을 미칠 변화에 대해 반응하고, 그에 따라서 측정을 적절하게 조정, 증가, 또는 감소시키는 방법, 시스템, 및 매체에 대한 요구가 있게 된다.
본 발명은 리소스(resource), 레시피 등에서의 변화에 기초하여 공정 제어를 위해 웨이퍼가 측정될 필요가 있는 것인지의 여부를 동적으로 판정함으로써 상술한 종래 기술의 문제점을 해결한다. 또한, 주어진 웨이퍼가 측정될 경우, 측정 지점도 또한 계측 도구에 동적으로 배정된다.
더 구체적으로, 본 발명의 실시예의 2가지 변형이 고려되며, 독립적으로 또는 함께 사용될 수 있다. 제 1 변형에 따르면, 더 많은 (혹은 더 적은) 웨이퍼가 측정되어야 한다는 것을 나타내는 이벤트에 뒤이어, 웨이퍼가 측정되는 빈도가 조정된다(웨이퍼 간(wafer-to-wafer)). 제 2 변형에 따르면, 측정될 각 웨이퍼가 더 자세히 (혹은 덜 자세히) 측정되어야 한다는 것을 나타내는 이벤트에 뒤이어, 측정을 위해 선택된 이들 웨이퍼의 측정의 공간 해상도를 증가시키거나 감소시킨다(웨이퍼 내(within-wafer)).
본 발명의 하나 이상의 실시예에 있어서, 후보 좌표 측정 지점은 다이 맵에 매핑되어 있고, 상기 후보 좌표 측정 지점의 서브 집합(subset)은 측정이 행해질 초기 지점으로 선택된다. 이어서, 웨이퍼 내 변형에 따르면, 본 발명은 상기 후보 좌표 측정 지점 중에서 (환경에 따라) 측정될 더 많은, 더 적은, 또는 다른 지점을 동적으로 선택한다. 웨이퍼 간 변형에 따르면, 제조 공정에서 변화가 있는 경우, 예를 들어 매 3개의 웨이퍼보다 각 웨이퍼를 측정하는 측정 수를 증가시킬 수 있다. 한가지 예로서, 웨이퍼 상의 특정 영역에서 두께를 중요하게 변화시키기 위해 새로운 레시피가 구현되는 경우, 더 많은 그리고/혹은 다른 후보 측정 지점을 선택함으로써 그 위치에서 더 많은 웨이퍼 내 측정이 행해질 수 있다. 또다른 예로서, 결함이 검출된 경우, 측정을 위해 선택되는 웨이퍼의 빈도는 증가되며, 이것은 결함을 갖고 제조된 웨이퍼를 검출할 확률과, 피드포워드(feedforward)/피드백(feedback) 방법과 관련한 것과 같은 제어 파라미 터를 바로잡을 확률이 커진다. 어떤 상황에서, 큰 편차(deviation)가 문제를 명확하게 식별하는 경우, 큰 편차는 작은 편차보다 더 적은 빈도의 측정 또는 더 적은 공간 해상도를 요구하는 반면, 작은 편차는 식별하기 어려울 수 있고, 더 많은 빈도 및/또는 더 밀도가 높은 측정이 요구된다. 측정의 빈도 및 밀도와 관련하여 다른 상황에서는 그 역(reverse)도 적합할 수 있으며, 혹은 편차와 무관하게 동일한 측정 수가 행해질 수 있는 경우가 있다.
본 발명의 하나 이상의 실시예에 따르면, 제조 공정에 의해 제조되는 적어도 하나의 제품에 대하여 적어도 하나의 제조 특성을 측정하기 위한 방법, 시스템, 및/또는 컴퓨터 구현 방법이 제공된다. 적어도 하나의 제품에 대해 제조 공정에 의해 측정될 후보 지점의 집합을 나타내는 정보가 제공된다. 제조 공정은 적어도 하나의 제조 특성을 측정하기 위해 적어도 하나의 제품에 대해 측정을 행하는 계획을 실행하며, 상기 계획은 후보 지점의 집합에 대하여 행해지는 측정을 규정한다. 제조 공정에서 변화가 검출되며, 상기 변화는 제조 공정에서 새로운 재료를 입수(receive)하는 것, 제조 공정에서 결함을 검출하는 것, 제조 공정에서 제어 파라미터의 변화를 검출하는 것, 및 적어도 하나의 제품의 측정에서의 변경을 검출하는 것 중 적어도 하나를 포함한다.
하나 이상의 실시예에 따르면, 측정을 실행하는 계획은 검출된 변화에 기초하여 조정되며, 그에 대하여 적어도 하나의 추가 측정이 실행된다.
하나 이상의 실시예에 따르면, 계획의 측정은 웨이퍼 간 및/또는 웨이퍼 내로 조정된다.
하나 이상의 실시예에 따르면, 제품은 반도체 웨이퍼이며, 제조 공정은 자동화된 반도체 제조 공정이다.
하나 이상의 실시예에 따르면, 계획은 계측 레시피를 나타내는 정보를 더 포함한다.
하나 이상의 실시예에 따르면, 후보 지점은 적어도 하나의 제품에 대응하는 맵에 포함된다. 계획은 소정의 샘플링 계획일 수 있다.
하나 이상의 실시예에 따르면, 계획은 제품 상에 적어도 하나의 영역을 규정하며, 각 후보 지점은 적어도 하나의 영역에 대응한다.
하나 이상의 실시예에 따르면, 조정은, 검출된 변화에 대응하는 적어도 하나의 영역을 판정하고, 판정된 영역에 대응하는 후보 지점에 대하여 적어도 하나의 추가 측정을 선택하고, 계획 하에 실행되는 선택된 적어도 하나의 추가 측정을 배정하며, 그리고 측정, 추가 측정, 및 계획 중 적어도 하나를 수정하는 것을 포함한다. 조정은, 검출된 변화가 일련의 제품에 영향을 미치는지의 여부를 판정하는 것, 및 만약 그렇다면 일련의 제품 중에서 적어도 하나의 제품을 측정할지의 여부를 판정하는 것을 포함할 수 있다. 제품은 그룹으로 제공될 수 있으며, 계획은 측정되기 위해 이용가능한 그룹의 제품을 나타내는 제 1 정보, 및 상기 계획 하에서 측정될 그룹의 제품을 나타내는 제 2 정보를 더 포함할 수 있다.
하나 이상의 실시예에 따르면, 측정 결과가 제품의 측정에서 변경을 나타내는 경우, 및/또는 결함이 제조 공정에서 검출되는 경우에는, 제품에 대한 측정 결과를 나타내는 정보는 폐기된다.
하나 이상의 실시예에 따르면, 샘플링 계획은 제품의 중심으로부터 방사상으로 된 복수의 스플라인을 포함하고, 그리고 후보 지점은 스플라인을 따라 분포된다. 스플라인을 따른 후보 지점의 분포는 제품의 표면적에 따라 가중치가 부여될 수 있다. 하나 이상의 또다른 실시예에 따르면, 샘플링 계획은 복수의 반지름 방향으로 분포되는 후보 지점을 포함한다.
도 1은 본 발명에서의 "웨이퍼 간(wafer-to-wafer)" 처리에 대한 동적 계측의 일례를 나타내는 플로차트,
도 2의 (a) 및 (b)는 웨이퍼 위의 영역에 대한 설명도로서, 도 2의 (a)는 웨이퍼의 평면도, 도 2의 (b)는 도 2의 (a)의 반지름(B-B)을 따른 웨이퍼의 단면도,
도 3은 본 발명의 하나 이상의 실시예에 따른 웨이퍼 내(within-wafer) 처리에 대한 동적 계측의 일례를 나타내는 플로차트,
도 4의 (a) 및 (b)는 본 발명의 하나 이상의 실시예를 사용한 웨이퍼에 대한 나선 샘플링 계획으로서, 도 4의 (a)는 웨이퍼의 평면도, 도 4의 (b)는 도 4의 (a)의 반지름을 따른 웨이퍼의 단면도,
도 5는 본 발명의 하나 이상의 실시예를 사용한 또다른 샘플링 계획의 일례,
도 6은 본 발명의 하나 이상의 실시예와 관련하여 사용될 수 있는 컴퓨터화된 공정 제어 시스템의 블록도이다.
본 발명의 상술하거나 또다른 장점 및 특징들은 첨부된 도면을 참조하면서 이하 상세한 설명으로부터 더 쉽게 분명해질 것이다.
이하 상세한 설명에서는 많은 구체적인 설명이 포함되어 있다. 이와 같은 설명을 포함하는 것은 단지 설명만을 위한 것으로서, 발명을 제한하는 것으로 이해해서는 안된다. 이와 같은 검토를 통해서, 동일 구성요소는 참조를 쉽게하기 위해서 여러 도면에서 동일 참조번호를 붙이고 있다. 또한, 하나의 실시예에서의 특징은 본 발명의 또다른 실시예에서의 특징과 결합될 수 있다.
본 발명의 하나 이상의 실시예에서, 정적 계측은 각 웨이퍼 (또는 다른 장치)에 대해서 동일 지점을 실질적으로 구체화하는, 측정될 웨이퍼 (또는 다른 장치)에 관하여 미리 정해진(소정의) 샘플링 계획이 있는 것을 의미한다. 이에 반하여, 동적 계측 계획은 초기 샘플링 계획을 활용하고, 어떤 이벤트(event) 또는 논이벤트(non-event)에 반응하여 샘플링을 조정한다. 논이벤트로 인한 조정의 일례로서, 만약 측정된 마지막 10개의 웨이퍼가 모두 동일한 경우, 처리 장치가 변화하지 않는 경우, 그리고 처리 장치에서의 레시피가 변화하지 않는 경우, 다음 일련의 웨이퍼 또한 모두 동일한 측정을 가질 것이라고 합리적으로 가정할 수 있다. 그와 같은 경우, 따라서 수율을 증가시키고, 측정을 하기 위해 소요되는 시간을 감소시키기 위해서, 본 발명은 예를 들어서 모든 웨이퍼 대신에 매 3번째 웨이퍼를 측정한다고 하는 측정에 대한 동적 조정이 제공된다. 따라서 이 발명은 예를 들어 레시피 변화에 대해 일어날 수 있는 잠재된 에러에 대해서 뿐만 아니라 정밀도에 대해서도 검출 및 조정한다.
본 발명의 하나 이상의 실시예는 본 발명이 상술한 웨이퍼 간 측정과 관련해 서 뿐만 아니라, 또는 그 대신에 웨이퍼 내 측정과 관련하여 사용될 수 있다는 것을 고려하고 있다. 200mm 지름을 갖는 웨이퍼의 반지름을 따라서 측정이 실시되고, 반지름이 10mm 증가되면서 측정되는 웨이퍼 내 측정의 예를 고려해 본다. 처리하는 동안, 50mm 및 60mm 지점에서 큰 변화가 있다는 것을 통상의 검출 공정에 의해 검출된다. 다음 샘플의 경우, 시스템은 그 변화를 더 잘 특징화하기 위해서 50mm 및 60mm 사이에서 샘플링 계획으로부터 또다른 지점을 측정하거나, 또는 선택적으로 추가 지점, 예를 들어 변화 위치 근처인 40mm 및 50mm 사이에서의 추가 지점을 측정하도록 조정한다. 다이 맵이 45mm 및 55mm에서의 지점을 포함한다면, 따라서 이러한 지점을 측정점으로 추가할 수 있다. 조정된 측정은 이제 이 예에서 40mm, 45mm, 50mm, 55mm, 60mm를 포함한다. 시스템은 측정 및/또는 변화를 더 잘 특징화하기 위해서 (이 예에서) 2개의 추가 지점을 동적으로 추가했다. 추가되거나 교체되는 지점을 허용하는 다이 맵에서 많은 후보 지점이 있는 경우, 시스템은 평균에 가장 가까운 것, 모드, 다른 통계 분석 등의 여러 방법으로 그 지점들 중에서 선택할 수 있다.
샘플링 계획은 다이 내부의 구체적인 측정 지점을 제공하며, 여기서 다이는 전형적으로 결국 처리 이후에 단일 칩이 되는 웨이퍼 위의 구역이다. 측정을 위한 후보인 다이 내부의 특정 지점들이 있다. 다이 맵은 저장되며, 전자 형식으로 나타내는 맵인 것이 바람직하다. 다이 맵 정보를 저장하기 위한 하나의 적절한 장소는 공장 자동화 시스템("MES" 또는 제조 실행 시스템) 내이다. 저장된 다이 맵 정보는 웨이퍼 위에서 측정을 위해 이용가능한 지점을 판정하기 위해 유익하게 검색 되거나 변환될 수 있다. 45mm 및 55mm에서 반지름 위에 측정 지점을 제공하는 이전 예로 돌아가면, 이들 특정 지점은 현재의 다이에 관련된 것이 아닌 경우(예를 들어 이것들이 다이 맵에 의해 구체화되지 않는 경우), 적절한 대체 지점은 45mm 및 55mm에 가깝거나 또는 그 사이에 있는 다이 맵에 의해 구체화되는 후보 지점으로부터 선택되는 지점일 수 있다. 이들 지점은 또한 동적으로 선택될 수 있다. 다른 기준이 또한 지점을 선택하는 것에 사용될 수 있다.
동적 계측은 어떤 명세서를 좀더 잘 만족시키도록 실행된다. 예를 들어, 레시피 파라미터가 처리 장치에서 변화되는 경우, 웨이퍼 위에 퇴적되는 막의 두께를 조정하기 위해서, 측정을 실행함으로써 명세서가 여전히 달성되고 있는 것인지의 여부를 좀더 면밀히 검토하는 것이 바람직하다.
공정이 늦어지는 것을 피하기 위해서, 본 발명의 하나 이상의 실시예는 제조 공정 또는 결과에 영향을 미치는 내부 또는 외부의 변화를 나타내는 하나 이상의 이벤트가 발생한 때 추가 측정 실행의 적정성을 유리하게 판정한다. 측정에서의 증가 및 처리에서의 있을법한 대응하는 감소는 필요에 따라서 및/또는 소정 기준에 기초하여 일어난다.
본 발명의 웨이퍼 간 변화는 예를 들어 일련의 웨이퍼에 영향을 줄 수 있고 샘플링 계획을 조정할 수 있는 이벤트를 체크할 수 있다. 예를 들어, 처리하는 동안, 시스템은 예를 들어, 1) 웨이퍼가 처리되는 처리 장치에서의 변화, 2) 웨이퍼를 처리하기 위한 처리 장치에 의해 사용되는 레시피 또는 파라미터에서의 변화, 3) 측정에서의 큰 검출 변화 또는 에러, 및/또는 4) 에러 없는 웨이퍼의 중요한 작 동(run)에 기초하여, 공정 제어를 위해 측정되는 웨이퍼의 빈도에서 증가가 요구되는지를 판정한다.
특히 웨이퍼 내 변화에 관해서, 본 발명의 하나 이상의 실시예는 시스템이 MES로부터 계측 좌표 정보를 갖는 저장된 다이 맵을 얻는 것을 고려한 것이다. 지적한 것처럼, 시스템은 선택적으로 측정 지점을 동적으로 배정하는 것 뿐만 아니라 배정을 제거(de-assigning)하는 것도 제공할 수 있다.
본 발명의 하나 이상의 실시예는 MES로부터 모은 정보를 사용하여 샘플링 계획을 변화하고, 자동적으로 예를 들어 웨이퍼가 처리되는 처리 장치의 타입에 따라서 그 새로운 샘플링 계획을 사용하는 것을 고려한다. 효과적으로는, 시스템은 무엇보다 칩의 타입 또는 장치의 타입 및 특정 장치를 포함하여 웨이퍼를 측정할 때 사용되는 관련 샘플링 계획을 나타내는 웨이퍼에 관한 정보를 저장한다. 장치의 타입에 기초하여, 관련 샘플링 계획 또는 다이 맵을 얻을 수 있으며, 이 경우 다이 맵은 후보 계측 지점의 집합을 포함한다. 그리고 나서 시스템은 다이 맵의 후보 지점의 집합으로부터 또는 다이 맵의 후보 지점에 응답하여 현재 웨이퍼에 대한 계측 지점을 선택한다.
샘플링 계획에 대해서, 샘플링 계획의 생성은 장치 마다(칩타입 마다) 달라질 수 있으며, 어떤 측정은 웨이퍼 위의 다이 분포에 기초할 수 있다. 웨이퍼를 영역으로 나누고 측정을 위해 웨이퍼의 영역을 사용함으로써, 본 발명의 하나 이상의 실시예는 영역 내의 이용가능한 지점들로부터 하나 이상의 지점을 선택할 때 융통성을 제공한다. 영역의 사용은 후보 지점의 풀(pool)을 제공하는 하나의 방법이 며, 이로부터 시스템은 웨이퍼 위의 막에 대한 원하는 정보에 가장 관련된 지점을 선택할 수 있다.
실제로, 시스템은 예를 들어 후보 지점의 풀로부터 웨이퍼 당 22개 내지 25개의 지점을 측정할 수 있다. 어떤 공정에 대해서, 시스템은 더 적은 지점, 예를 들어 8개 지점을 측정할 수 있으며, 이것은 이들 지점을 측정하는 것이 더 오래 걸리거나 또는 웨이퍼 처리 시간이 더 빠르기 때문이다. 다른 공정에 대해서, 시스템은 비교적 느린 측정인 도펀트 농도와 같은 또다른 타입의 특성의 한 지점을 측정할 수 있다.
어떤 이벤트에 있어서, 양질 제품을 생산하는 것에 대한 요구와 측정 시에 소모되는 시간을 균형을 맞추는 것이 중요하다. 제조자는 의심스런 품질의 제품을 빠르게 생산하는 것보다는 결점을 갖는 제품을 생산하지 않고 명세서를 만족하는 것을 더 중요하게 생각한다.
웨이퍼가 처리되는 각 처리 장치는 상이한 처리 시간을 가지며, 따라서 선택되는 표준 샘플링율은 처리 장치 및 계측 도구의 처리 속도에 좌우될 수 있다. 어떤 처리 장치에서, 처리 장치의 속도가 계측 도구에 의한 측정보다 더 느리기 때문에, 모든 웨이퍼에 대한 측정은 처리를 느리게 하지 않는다. 예를 들어, 연마 및 세정 처리 장치는 하나의 웨이퍼를 처리하는데 5분 이상을 소모할 수 있다. 그 경우에, 모든 웨이퍼에 대한 계측 도구에 의한 후 처리(post-processing) 측정은 처리량을 저하시키지 않는다.
또한, 시스템은 웨이퍼의 초기 및 최종 조건에 기초하여 추가적인 측정을 할것인지의 여부에 대해 판정할 수 있다. 예를 들어, 인입되는 웨이퍼의 단면의 두께 프로파일이 많이 변화하지 않는 상황이면, 시스템은 웨이퍼 간의 입수 프로파일의 샘플의 빈도를 감소시킨다. 한편, 인입 프로파일이 크게 변화하는 경우, 모든 인입 웨이퍼를 측정하는 것이 바람직할 것이다.
도 1을 참조하면, 웨이퍼 간 동적 계측 시스템의 하나 이상의 실시예에 대한 플로차트의 예를 나타내고 있다. 이 시스템은 처리 장치의 상태에서의 큰 변화가 있었는지를 체크하며, 이것은 예를 들어 휴지 시간(idle time), 소모품의 변화 등을 체크함으로써 검출될 수 있다. 처리 장치에서의 잠재적인 변화를 나타내거나 또는 변화시킬 것이라고 믿을 수 있는, 체크할 수 다른 이벤트들도 있을 수 있다. 처리 장치 그 자체는 그런 타입의 이벤트를 인식하거나 추적하는 충분한 프로그램을 포함할 수 있다. 도 1의 플로차트 예는 처리 장치로부터 수집한 정보에 기초하여 그리고 의미있는 내부 또는 외부 변화(예를 들어, 시스템이 장시간 휴지(idle)이었거나, 챔버가 세정되었거나, 슬러리(slurry)의 새로운 배치(batch), 초기 웨이퍼 등)에 기초하여, 분석 및 결정을 초기화하는 이벤트 또는 상태 변화의 예시 집합을 포함하고 있다. 웨이퍼를 측정할 것인지의 여부를 판정하는 집합에 다른 이벤트 또는 상태를 포함할 수 있다.
본 발명의 하나 이상의 실시예는 또한 아래와 같은 것을 고려하고 있다. 초기 샘플링 계획이 제공된 것으로 가정하자. 상기 계획은 예를 들어 각 웨이퍼 위의 특정 지점의 측정을 지시하고 및/또는 로트(lot) 내의 어떤 웨이퍼를 측정할 것인지를 나타내는 정보를 포함할 수 있다. 웨이퍼 간 계측 계획에 따르면, 시스템은 근거가 있는 경우 초기 샘플링 계획으로부터 벗어난다. 시스템은 일단 공정이 다시 "정상(normal)"이거나 또는 다시 명세서 내의 제품을 생산한다는 것이 검출되면 초기 샘플링 계획으로 되돌아갈 수 있다.
도 1로 되돌아가서, 예를 들어 본 발명의 하나 이상의 실시예에 따르면 처리될 전형적인 25개의 웨이퍼 카세트(cassette)를 고려한다. 웨이퍼 카세트는 통상 또다른 처리 장치로부터 처리 장치에 도달하여, 블록(101)에서 로트에 대한 처리가 개시된다.
처리 장치에 의해서 처리되는 웨이퍼가 처리 장치의 특정 로트의 첫번째 웨이퍼이면, 아마도 변화된 어떤 처리 장치 관련 특성이 있는지를 검출하기 위해서 이 웨이퍼를 측정하는 것이 바람직할 것이다. 따라서, 블록(103)에서, 시스템은 리소스(resource)의 첫번째 웨이퍼를 처리하는 것인지를 체크한다. 이것은 또한 처리 장치에서의 챔버가 세정되었거나 또는 아마도 소모품이 처리 장치에서 교체된 아래의 예방 관리 등의 상황을 포함한다.
블록(103)에 따라서 처리되는 웨이퍼가 리소스 위의 첫번째 (또는 다른 소정의) 웨이퍼이었다면, 시스템은 블록(105)에서 처리 장치가 휴지인지의 여부, 현재 공정을 개시하기 이전에 어떤 특정 시간보다 더 큰 경우인지의 여부를 체크하고, 만약 처리 장치가 의미 있는 휴지가 아니였다면, 시스템은 블록(107)에서 공정이 변화되었거나 바뀌었는지의 여부를 체크한다. 만약 공정이 변화하지 않은 경우, 웨이퍼의 측정은 블록(116)에서 초기 샘플링 계획에 따라서 구현될 수도 구현되지 않을 수도 있어서, 따라서 웨이퍼가 블록(120)에서 측정되거나 또는 블록(118)에서 측정되지 않는다. 한편, 리소스가 충분히 장시간 동안 휴지이었다면, 또는 공정이 변했다면, 블록(109 또는 111) 각각에서, 새로운 측정이 행해진다.
웨이퍼가 처리 장치에서 첫번째 것이 아니면, 도시된 것과 같이 블록(113)에서 시스템은 공정 제어 알고리즘 또는 공정 제어기와 같이 레시피에 중요한 변화가 있었는지를 체크한다. 중요한 변화가 있었더라도, 명세서를 여전히 만족하고 있다는 것을 보증하는 것이 통상 바람직하다. 레시피에 대한 변화는 시간, 압력, 유량(flow rate) 등 또는 완전히 상이한 레시피조차도 포함할 수 있다. 레시피가 중요하게 변화될 경우, 블록(115)에서 시스템은 웨이퍼의 측정을 요청한다.
시스템은 또한 처리 장치에서와 같이 결함이 검출되었는지를 체크한다. 예를 들어 자동 시스템측으로부터 또는 처리 장치 자체로부터 처리 장치에 어떤 문제가 있는지를 판정하기 위해서, 처리 장치는 공장 자동 시스템에 의해 모니터될 수 있다. 또한, 처리 장치 자체는 결함을 검출하는 능력을 포함할 수 있다. 만약 결함이 검출되면, 시스템은 이어서 웨이퍼가 명세서 내에 있는 것인지를 확인하기 위해서 측정할 수 있다. 따라서, 블록(117)에서 결함이 검출되었는지를 판정한다. 만약 결함이 검출되면, 블록(123)에서 시스템은 웨이퍼를 측정한다. 웨이퍼가 오류를 가질 가능성이 있기 때문에 피드백 목적을 위해 그와 같은 측정을 사용하지 않는 것이 바람직하다.
측정값의 사용을 위한 2가지 경우가 있을 수 있다. 첫번째 경우, 리소스 휴지 조건을 뒤이은 측정과 같은 경우, 시스템은 측정값을 사용하거나 또다른 처리를 위해서 측정값을 저장한다. 두번째 경우, 결함 검출을 뒤이은 경우, 시스템은 수 용을 위해 웨이퍼 또는 일련의 웨이퍼를 체크하지만, 기록 결과(historical results)를 왜곡시킬 수 있는 값을 저장하지 않는다. 첫번째 경우, 시스템은 처리 장치가 어떻게 작동할 것인지 더욱 잘 예측하기 위해서 처리 장치의 모델링을 위해 기록값을 사용하거나, 또는 또다른 목적을 위해 사용한다. 예를 들어, 결함이 발생하였다는 것이 알려진 경우, 제조자는 공정 구성요소 또는 파라미터를 변화시킴으로써 결함의 원인을 찾고 바로잡기를 원할 것이다. 따라서, 결함의 검출을 유발한 웨이퍼에 기인한 공정 데이터는 공정 시스템에서 "정상(normal)" 처리를 나타내는 것이 아니다. 한편, 결함 검출의 경우, 시스템은 웨이퍼가 불량 웨이퍼와 비교하여 양호한(사용가능한) 웨이퍼라는 것을 보증할 뿐이다. 불행하게도, 통상적으로 결함에 뒤이어서, 결함에 의해 잠재적으로 영향을 받은 일련의 여러 개의 웨이퍼가 있으며, 연속하여 웨이퍼를 측정하는 것이 바람직하다. 일단 결함에 뒤이어서 웨이퍼가 측정될 경우, 웨이퍼가 불량이면, 웨이퍼를 문제있는 것으로 마크하고, 측정값 뿐만 아니라 아마도 그 웨이퍼 자체도 폐기하는 것이 바람직하다.
마찬가지로, 레시피에 어떤 변화도 없고, 결함의 검출도 없으며, 오류의 또다른 가능한 원인이 없음에도 불구하고 웨이퍼가 목표를 벗어난다면, 이것은 일련의 목표를 벗어난 웨이퍼일 수 있다. 결과적으로, 오류를 갖는 웨이퍼가 검출된 경우, 다음 웨이퍼 또한 오류를 가질 더 많은 가능성 있다. 따라서, 블록(119)에서, 도 1에 따라 행해진 이전 측정에 의해서 판정된 것처럼, 시스템은 이전 웨이퍼가 목표로부터 멀리 떨어진 것인지를 체크한다. 만약 그렇다면, 블록(121)에서 시스템은 현재 웨이퍼 또한 측정한다.
마지막으로, 초기 샘플링 계획에 따라 웨이퍼를 측정하는 것이 바람직할 수도 있다. 따라서, 블록(125)에서, 시스템은 현재 웨이퍼가 초기 샘플링 계획에 따라서 측정되어야 하는지를 효과적으로 판정하기 위해서 초기 샘플링 계획을 체크한다. 만약 아니라면, 시스템은 웨이퍼를 측정하지 않는다. 하나 이상의 실시예에 따르면, 칩 타입의 변화 이후와 같이 적절한 상황 하에서 웨이퍼를 측정하기 위해서 변형된 샘플링 계획이 사용된다.
마찬가지로, 웨이퍼 처리에 영향을 미치는 조건이 변화하지 않은 경우, 그리고 일련의 웨이퍼가 목표에 맞는 경우, 웨이퍼가 계속 목표에 맞을 것이라고 기대된다. 따라서, 블록(127)에 도시된 것처럼, 마지막 n개 웨이퍼의 측정이 목표에 충분히 맞으면, 이 경우 또는 빈번하게는 웨이퍼를 측정할 필요가 없다. 이와 같이, 측정의 수가 감소 될 수 있고, 처리 시간은 잠재적으로 감소된다. 한편, 블록(127)에서 시스템이 마지막 일련의 n개 웨이퍼가 목표에 맞지 않는다고 판정된 경우, 블록(129)에서 시스템은 현재 웨이퍼를 측정한다.
도 2를 참조하면, 웨이퍼 내 동적 계측을 위한 측정 영역을 설명하는 웨이퍼의 맵을 나타내고 있다. 상술한 웨이퍼 간 동적 계측과 구별하여, 시스템이 웨이퍼 내에서 계측을 변화시킬 수 있기 때문에 "웨이퍼 내"라고 한다(이하에서 설명되는 도 3은 웨이퍼 내 동적 계측을 위한 플로차트의 예를 나타내고 있다).
웨이퍼 상의 처리 장치에 의해 실행되는 공정이 대칭이어서, 시스템이 웨이퍼 상의 막 부위에 대칭적으로 영향을 미치는 경우, 더 적은 지점을 측정하는 것, 단지 하나의 반지름의 측정을 하는 것이 합리적일 수도 있다. 한편, 비대칭인 웨이퍼 상의 처리 장치에 의해 실행되는 이전 단계가 있었던 경우, 추가 측정값에 대한 정보가 포착될 필요가 있을 수도 있다. 따라서 적용 가능하다면, 바람직한 측정 지점의 수는 공정 타입과, 공정에서의 단계에서 추가적으로 좌우된다.
예를 들어, 매우 균일한 공정이 주어진 경우, 충분한 정밀성을 제공하기 위해서 웨이퍼 상의 단지 5개의 지점이 측정될 필요가 있다. 한편, 매우 불균일한 공정 또는 매우 불분명한 정보가 주어진 경우, 충분한 수준의 정밀성을 얻기 위해서 25 지점이 측정되어야 한다.
전형적으로 공장 자동화 시스템, 또는 공장 자동화 시스템에서의 소프트웨어는 처리 장치에 어떤 공정 (또는 공정들) 또는 공정 내의 단계가 실행되고 있는지를 판정하기 위해 프로그램화 되어 있다. 그 정보에 기초하여, 시스템은 웨이퍼의 적절하게 정확한 측정 또는 측정의 집합을 위해서 적은 혹은 많은 지점을 요구하는지를 판정할 수 있다.
예를 들어, 웨이퍼를 독립적으로 처리하는 복수의 챔버 또는 리소스를 갖는 처리 장치를 고려한다. 이 예에서, 공정 제어 알고리즘은 4개의 레시피 변화를 기술한다. 본 발명은 어떤 웨이퍼가 측정되어야 하는지(웨이퍼 간) 그리고 동적 레시피 변화로 인한 측정 지점 수에서의 어떤 바람직한 변화가 필요한지(웨이퍼 내)를 판정한다. 이 계측 전략은 결과적으로 MES 또는 다른 공장 자동화 시스템으로부터 다이 맵에 기초하여 동적 계측 변화를 가능하게 한다.
다이 맵은 측정될 웨이퍼에 대응하는 후보 지점의 풀을 제공하며, 시스템은 후보 지점들로부터 선택할 수 있으며, 상기 지점들은 그 웨이퍼와 관련하여 필요하 거나 요구되는 정보에 가장 직접적으로 대응하는 지점들이다. MES 또는 다른 공장 자동화 시스템은 측정될 수 있는 허용되거나 관련된 가능한 지점을 나타내는 정보를 제공하며, 이들 후보 지점들로부터, 본 발명의 하나 이상의 실시예는 시스템이 바람직한 정보를 얻는 지점의 최저 집합을 선택하도록 한다.
도 2의 (a) 및 (b)는 전형적인 웨이퍼(201)의 일례의 평면도 및 단면도를 나타내고 있으며, 이 경우 반지름 영역(radial region) 1 내지 5를 갖는다. 도 2의 (a)에 나타낸 것처럼, 도시된 웨이퍼(201)는 원형이다. 웨이퍼 상의 칩은 통상 사각형이고 웨이퍼를 가로질러 놓인다. 처리 종료 후에, 칩은 웨이퍼로부터 분할된다. 도 2의 (b)는 웨이퍼의 한쪽 에지로부터 웨이퍼의 중심으로 B-B 부분을 따른 도 2의 (a)의 웨이퍼의 단면을 나타낸다. 영역 1은 중심으로부터 40mm까지 반지름 방향으로 연장되고, 영역 2는 40mm부터 60mm까지 연장되며, 영역 3은 60mm부터 80mm까지 연장되며, 영역 4는 80mm부터 92mm까지 연장되며, 그리고 영역 5는 92mm부터 95mm까지 연장된다. 웨이퍼는 더 많은 혹은 더 적은 영역으로 분할될 수 있다. 또한, 영역이 반지름 방향으로 도시되어 있지만, 영역이 원형이 아니어도 방사상(radial)이 아닌 경우에도 동일한 개념이 적용된다.
다이 맵은 웨이퍼의 상이한 영역들을 임의로 구별하는 샘플링 계획을 포함한다. 그러한 샘플링 계획은 웨이퍼의 영역과 관련하여 측정 지점의 집합을 나타내는 정보를 포함한다.
도 3의 플로차트는 웨이퍼 내 계측, 다시 말해 시스템이 계측 지점을 변경시켜야 하거나 또는 변경시키지 말아야 하는 경우의 일례를 다루고 있다. 도 3은 따라서 현재 웨이퍼를 계측할 지의 여부(웨이퍼 간 동적 계측)에 대해 나타내는 도 1과 대비된다. 도 3은 주어진 웨이퍼 내에서 영역 변경을 측정하기 위해서 더 많은 지점이 필요한지의 여부를 판정하기 위해서 질의의 예시 집합을 규정한다.
도 3을 참조하면, 본 발명의 하나 이상의 실시예에 의해 고려된 것처럼 웨이퍼 내 동적 계측의 일례를 나타내고 있다. 블록(301)에서, 웨이퍼는 현재 샘플링 계획을 이용하는 계측 도구에 의해 측정된다. 웨이퍼를 측정하여, 시스템은 다음 웨이퍼에 대해서 샘플링 계획을 변경하는 것을 보증할 수 있는 중요한 변경이 있는 지를 판정하기 위해서 현재 웨이퍼를 분석한다. 웨이퍼는 실행(run)마다 잠재적으로 변화될 수 있다. 다시 말해, 시스템은 동작을 실행하고, 따라서 그 동작의 결과에 기초하여, 시스템은 다음 웨이퍼에 대해서 동일한 샘플링 계획을 이용할 것인지 다른 것을 이용할 것이지의 여부를 판정한다.
블록(303)에서, 현재 웨이퍼 상의 하나 이상의 영역 내에 명세서로부터의 변경이 있는지의 여부를 판정한다. 만약 그렇지 않다면, 블록(305)에 나타낸 것처럼, 더 많은 샘플링 지점을 추가할 필요는 없다.
블록(307)에서, 만약 영역 내의 변경이 있었다면, 상기 변경이 아웃라이어(outlier) 또는 플라이어(flier)로 인한 것인지의 여부를 판정한다. 아웃라이어 또는 플라이어는 측정 지점이 실제 값의 정확한 반영이 아닌 상황이다. 예를 들어 만약 웨이퍼 상에 약간의 먼지가 있으면, 이것은 잘못된 두께 측정을 일으킬 수 있거나, 또는 예를 들어 실제 측정된 지점이 정확한 측정 좌표로부터 떨어져 있을 수 있어서, 크게 두께를 더 두껍거나 얇게 할 수 있다. 측정된 지점이 기대 측정으로부터 어떻게 다른지를 기초하여 많은 방법에서 아웃라이어 또는 플라이어를 통계적으로 판정할 수 있다. 변경이 플라이어로 인한 것인지 또는 실제 변경이 있는지에 대해서 판정하는 것이 어려울 경우가 있다. 수집된 데이터는 잠재적으로 결함이 있는 다이를 나타내기 위해 사용될 수 있다.
물론, 변경에 대한 어떤 또다른 원인을 검출할 수 있고, 샘플링 계획이 변경될 수 있는지 (및 어떻게 변경될 수 있는지)에 따라서 결정이 행해질 수 있다는 것을 본 발명의 하나 이상의 실시예는 고려하고 있다.
다시 도 3을 참조하여, 만약 명세서로부터의 변경이 아웃라이어 또는 플라이어로 인한 경우, 블록(309)에 의해 나타낸 것처럼, 샘플링 계획은 바뀌지 않는다. 측정이 웨이퍼의 정확한 반영이 아닐 수 있고, 따라서 시스템은 측정에 반응하지 말아야 한다.
블록(311)에서, 명세서로부터의 변경이 처리 장치가 보상 가능한 것인지를 판정한다. 예를 들어, 처리 장치가 반지름 방향 변경에 대해서는 정정할 수 있지만, 각도(angular) 또는 방위각(azimuthal)인 변경에 대해서는 정정할 수 없다. 따라서, 블록(313)에서, 처리 장치가 영역 내의 변경에 대해서 보상할 수 없는 경우, 샘플링 계획은 변화하지 않는다. 한편, 처리 장치가 영역 내의 변경에 대해서 보상할 수 있는 경우, 블록(315)에서 영역을 더 잘 특징화시키기 위해서 다음 웨이퍼에 대해서 샘플링 계획에서 영역에 지점을 추가한다. 선택적으로, 데이터는 이 드리프트(drift) 조건에 대하여 공정을 변화시키기 위해 시스템 제어기에 피드백될 수 있다.
본 발명의 하나 이상의 실시예에 따르면, 하나 이상의 웨이퍼에서의 오류는 일정 수준의 오류 취급(error handling) 및/또는 경고(alarm)를 초기화할 수 있다. 비대칭 변경과 같이 샘플링 계획에 변화를 초래하지 않는 오류인 경우, 시스템이 보상할 수 조차 없는 경우, 본 발명의 하나 이상의 실시예에서 시스템은 다른 오류 취급의 유발 성능(trigger performance) 또는 경고를 발생할 수 있다. 명세서 밖의 웨이퍼와 같이 오류가 계통 효과(systemic effect)를 특징으로 나타날 경우, 경고가 발생될 수 있다. 오류가 명세서 밖의 하나의 웨이퍼인 경우, 본 발명의 하나 이상의 실시예에 따르면, 시스템은 그 웨이퍼를 플래그(flag)한다.
도 3의 플로차트는 웨이퍼 내 계측의 하나의 가상 예를 나타낸 것이다. 다른 타입의 체크 및 결정도 고려되며, 상세한 체크와 결합하여 및/또는 대신에 사용될 수 있다. 예를 들어, 특정 영역에 영향을 미칠 수 있는 레시피 파라미터의 큰 변화가 있는지의 여부를 추가적인 체크가 포함할 수 있으며, 만약 그렇다면, 그 변화가 더 많은 정보가 바람직하다고 할 정도로 그 영역에 영향을 미쳤는지의 여부에 대해서 판정을 할 수 있으며, 만약 그렇다면, 더 많은 계측 지점이 샘플링 계획에 추가되어야 한다.
이제, 도 4의 (a) 및 (b)를 참조하면, 삼각형의 나선 샘플링 계획에 대해서 평면도 및 단면도가 각각 도시되어 있다. 이것은 웨이퍼(201)에 관련하여 구체적인 측정 지점(401)을 나타내는 구체적인 샘플링 계획의 일례이다. 다른 정적 샘플링 계획을 사용할 수도 있다. 그렇지만, 설명되는 나선 샘플링 계획은 반지름방향 변화 뿐만 아니라 각도 변화를 포착하기에 적절하다. R이 반지름, 세타(theta)가 각도인 극좌표계를 고려하면, 삼각형의 나선 샘플링 계획은 R방향 및 세타 방향 양쪽 모두의 변경을 포착할 수 있다. 시스템이 반지름 방향인 변경에 대해서만 보상할 수 있는 경우, 반지름 방향으로 측정 지점을 추가하는 것이 바람직하다. 중요한 각도상의 변경이 검출되더라도, 처리 장치에서 웨이퍼를 유지하고 및/또는 스핀닝(spinning)으로 인해 변경이 정정될 수 없는 경우, 측정 지점을 추가하지 않는다.
다시 도 4의 (a) 및 (b)에 도시한 예시 샘플링 계획을 참조하면, 지점(401)은 웨이퍼의 중심으로부터 방사상으로 퍼진 3개의 스플라인(spline)을 따라 분포된다. 이 예의 지점(401)은 일반적으로 도 4의 (b)에 나타낸 것처럼 8개 영역의 각각에 분포된다. 이 샘플링 계획에서, 반지름 방향으로 지점을 잠재적으로 추가할 수 있다. 본 발명의 다른 실시예에서 더 많거나 혹은 더 적은 지점이 제공될 수 있다. 반지름 방향으로 약 0mm로부터 40mm까지의 모든 지점인 영역 1에서, 큰 변경이 있다고 가정하면, 그 변경을 더 잘 특징화하기 위해서 다이 맵으로부터 더 많은 측정 지점이 추가될 수 있다. 도 4의 (a)는 설명을 위해 중심으로부터 24.375mm, 48.75mm, 73.125mm, 및 97.5mm에서 등거리 반지름을 나타내고 있다. 스플라인(403)을 따라 지점들(401) 사이의 거리는 웨이퍼의 바깥쪽 지름으로 향할 수록 효과적으로 감소되어서, 영역의 폭과 관련하여 표면적에서의 증가를 수용하게 된다.
도 4의 (a) 및 (b)는 많은 가능한 샘플링 계획 중 하나만을 도시한 것으로, 이 경우는 특정한 나선 샘플링 계획이다. 다른 샘플링 계획도 가능하다. 도시된 나선 샘플링 계획의 하나의 장점은 반지름 방향은 물론이고 각도의 변경도 양을 재는 것이다. 또다른 장점은 이것은 또한 가중 영역(weighted region)을 측정하는 것이며, 다시 말해 이것들이 나타내는 웨이퍼 표면적에 대략 비례하여 선택된 수의 좌표를 측정한다. 웨이퍼의 에지에 가까이 갈수록, 측정 지점은 밀도가 높아지거나 서로 더 접근하게 되며, 이것은 반지름 방향 거리가 더 멀어질수록 그 영역의 면적이 영역의 폭에 비하여 더 크기 때문이다.
게다가, 에지에서의 변경은 전형적으로 웨이퍼 중심 방향의 변경보다 더 크다. 그 변경은 중심으로부터 더 멀어질수록 비례하여 커지게 된다. 그 결과, 측정되는 지점의 밀도는 지점이 반지름 방향 외부로 이동함에 따라 증가될 수 있다.
또한, 본 발명은 나선 샘플링 계획의 측정 속도를 선택적으로 최적화한다. 계측의 성능에서, 웨이퍼를 반지름 방향으로 가로질러 실행되는 경우 측정은 더 빠르다. 본 발명의 하나 이상의 실시예에서 고려되는 나선 샘플링 계획에 따르면, 웨이퍼는 선형 측정에 뒤이어 약 120도 회전하게 되며, 그리고나서 웨이퍼를 반지름 방향으로 가로질러 위치한 다음 지점에서 다음 측정이 실행되며, 또한 웨이퍼는 다음 측정을 위해 다시 약 120도 회전하게 된다. 회전각은 지점의 배치에 대응하며, 뿐만 아니라 계측 도구의 제한 및/또는 용량을 수용하기 위해서 변경될 수 있다. 웨이퍼는 축받이대(pedestal) 위에 위치되며 계측 도구가 웨이퍼의 측정을 실행하는 동안 회전하며, 이동하게 된다.
다른 샘플링 계획이 또한 본 발명의 하나 이상의 실시예에 의해 고려되며, 이것은 49개(도 5에 도시된 것처럼)와 같이 많은 수의 지점, 또는 5개와 같이 적은 수의 지점을 갖는 샘플링 계획을 포함한다. 동심원 또는 별 모양, 또는 다른 변형으로 분포되는 것과 같이 다른 분포의 계측 지점을 갖는 다른 샘플링 계획을 하나 이상의 실시예에서 사용할 수 있다.
도 6을 참조하면, 본 발명의 하나 이상의 실시예와 관련하여 사용될 수 있는 컴퓨터화된 공정 제어 시스템을 나타내고 있다. 상기 시스템은 APC(601)와 같은 표준 공장 자동화 시스템을 포함한다. APC(601)는 하나 이상의 표준 처리 장치(603) 또는 리소스와 연결되며, 중앙 제어를 제공한다. 차례로, 처리 장치(603)는 표준 계측 도구(605)와 연결되며 이를 제어하고, 표준 계측 도구는 본 발명과 관련하여 상술된 공정에 따라 웨이퍼를 측정한다. 도 6은 전형적인 시스템을 나타내고 있지만, 계측 장치(들)(605)가 APC(601)와 연결되게 하거나, 혹은 심지어 APC(601)를 생략하고, 계측 장치(605)가 여기서 기술되는 공정을 패턴화시키게 하는 등의 다른 구성도 가능하다.
본 발명과 연결되어 사용될 수 있는 처리 장치의 예는 화학적 기계적 평탄화(CMP) 도구, 에칭 도구, 화학적 증착(CVD) 도구, 리소그래피 도구 등을 포함한다. 처리 장치는 어떤 구성에서 계측 도구를 조립할 수 있다는 것에 주목해야 한다.
본 발명은 상술한 구체적인 실시예와 관련하여 서술되었지만, 많은 대체, 변형, 변경하는 것이 당업자들에게 분명할 것이다. 따라서, 상술한 본 발명의 바람직한 실시예는 설명을 위한 것이지 발명을 한정하는 것이 아니다. 이하 청구범위에서 규정된 것처럼 본 발명의 개념 및 범위를 벗어나지 않고, 다양한 변화를 행할 수 있다.
예를 들어, 본 발명과 어떤 샘플링 계획을 사용하는 것도 가능하다. 샘플링 계획은 상술한 것에 더하여 정보를 포함할 수 있다. 또한, 샘플링 계획은 복수의 샘플링 계획으로부터 정보를 조합할 수 있다. 또다른 예로서, 위에서는 미리 정해진 또는 정적 샘플링 계획을 검토했지만, 상기 미리 정해진 또는 정적 샘플링 계획은 웨이퍼 처리 직전과 같이 나가면서 측정된 좌표 지점의 집합을 포함한다.
또다른 예로서, 이와 같이 위에서 검토된 것에 더하여, 결합하여, 및/또는 그 대신에 이벤트 또는 조건이 웨이퍼 간 계측 판정의 부분으로서 체크될 수 있다. 예를 들어, 계측 도구, 처리 장치, 또는 시스템 그 자체는 결함을 나타낼 수 있다. 더구나, 결함에 대한 원인이 나타내질 수 있고, 그러한 정보는 구체적으로 체크되고 또한 적절하게 취급될 수 있다. 시스템은 레시피의 교체 또는 레시피 파라미터에서의 변화와 같은, 여러 다른 방식으로 레시피에 대한 변화를 체크해야 한다.
마찬가지로, 다른 이벤트 또는 조건이 웨이퍼 내 판정의 부분으로서 취급될 수 있다. 예를 들어, 웨이퍼 상에 어떤 형상의 하나 이상의 영역이 있을 수 있다. 또다른 예로서, 적절한 경우에 샘플링 계획으로부터 지점이 생략될 수 있다. 또다른 예는 웨이퍼 간 처리와 관련하여 상술한 다른 이벤트를 포함할 수 있다.
또다른 예로서, 공장 자동화 시스템은 일반용 컴퓨터 또는 특별히 프로그램화한 특수 컴퓨터일 수 있다. 또한 하나의 컴퓨터 보다는 분산 컴퓨터 시스템으로서 구현될 수 있으며, 일부의 분산 시스템은 임베디드(embedded) 시스템을 포함할 수 있다. 또한, 프로그램밍은 처리 장치 및 계측 도구 또는 공정 제어 시스템의 또다른 부분에서 분산될 수 있다. 마찬가지로, 처리는 하나 이상의 컴퓨터 시스템 또는 프로세서 상의 소프트웨어 프로그램에 의해 제어될 수 있으며, 또는 하드웨어로 부분적 혹은 전체적으로 구현될 수 있다. 더구나, 공장 자동화 시스템은 관련 계측 도구(들), 처리 장치, 및 계측 시스템(들)과 직접 또는 간접적으로 연결될 수 있으며, 혹은 계측 도구(들), 처리 장치 및 계측 시스템(들)은 서로 그리고 공장 자동화 시스템과 직접 또는 간접적으로 연결될 수 있다.

Claims (63)

  1. 공정 제어 시스템에 의해 처리되는 복수의 웨이퍼로부터 적어도 하나의 웨이퍼에 대한 적어도 하나의 제조 특성을 측정하는 컴퓨터 구현 방법으로서,
    상기 복수의 웨이퍼로부터 상기 적어도 하나의 웨이퍼에 대한 상기 공정 제어 시스템에 의해 측정될 후보 지점(point)의 집합을 나타내는 정보를 제공하는 단계;
    상기 적어도 하나의 제조 특성을 측정하기 위해서 상기 적어도 하나의 웨이퍼에 대해서 측정을 실행하기 위한 상기 복수의 웨이퍼를 위한 계획(plan)인, 상기 후보 지점의 집합에 대하여 행해지는 상기 측정을 규정하는 상기 계획을 상기 공정 제어 시스템에 의해 실행하는 단계;
    상기 복수의 웨이퍼의 제조 공정에서 결함(fault)을 검출하는 것, 및 상기 적어도 하나의 웨이퍼의 측정에서 변경(variation)을 검출하는 것 중 적어도 하나에 관한 변화로서, 상기 제조 공정에서의 상기 변화를 나타내는 복수의 이벤트 중 하나 또는 상기 복수의 이벤트 중 하나의 부족 여부를 상기 공정 제어 시스템에 의해 검출하는 단계;
    상기 복수의 웨이퍼를 처리하는 동안, 상기 검출된 이벤트 또는 상기 이벤트의 부족 여부에 기초하여 상기 복수의 웨이퍼로부터 보다 많은 또는 보다 적은 웨이퍼를 측정할 것인지의 여부를 상기 공정 제어 시스템에 의해 판정하는 단계; 및
    상기 복수의 웨이퍼로부터 웨이퍼를 처리하는 동안, 상기 복수의 웨이퍼로부터 보다 많은 웨이퍼를 측정하는 것으로 판정하는 경우 웨이퍼 간 측정 주파수를 증가시키고, 상기 복수의 웨이퍼로부터 보다 적은 웨이퍼를 측정하는 것으로 판정하는 경우 상기 웨이퍼 간 측정 주파수를 감소하여, 상기 공정 제어 시스템에 의해 상기 계획을 조정하는 단계를 구비하는 컴퓨터 구현 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 계획을 조정하는 단계는 상기 계획의 측정을 웨이퍼 내(within-wafer)로 조정하는 단계를 더 포함하는 컴퓨터 구현 방법.
  4. 제 1 항에 있어서,
    상기 제조 공정은 자동화된 반도체 제조 공정인 컴퓨터 구현 방법.
  5. 제 1 항에 있어서,
    상기 계획은 계측 레시피(metrology recipe)를 나타내는 정보를 더 포함하는 컴퓨터 구현 방법.
  6. 제 1 항에 있어서,
    상기 후보 지점은 적어도 하나의 웨이퍼에 대응한 맵(map)에 포함되는 컴퓨터 구현 방법.
  7. 제 1 항에 있어서,
    상기 계획은 소정의 샘플링 계획(sampling plan)인 컴퓨터 구현 방법.
  8. 제 1 항에 있어서,
    상기 계획은 상기 웨이퍼 상의 적어도 하나의 영역(region)을 규정하고, 상기 후보 지점의 각각은 적어도 하나의 영역에 대응하는 컴퓨터 구현 방법.
  9. 제 1 항에 있어서,
    상기 계획을 조정하는 단계는,
    상기 검출된 변화에 대응하는 적어도 하나의 영역을 판정하는 단계;
    상기 판정된 영역에 대응하는 후보 지점에 대하여 적어도 하나의 측정을 선택하는 단계;
    상기 계획하에 상기 선택된 적어도 하나의 측정을 실행되어야 할 추가 측정으로서 배정(assign)하거나, 제거되어야 할 측정으로서 배정하는 단계; 및
    상기 측정, 상기 선택된 측정 및 상기 계획 중 적어도 하나를 수정(revise)하는 단계를 구비하는 컴퓨터 구현 방법.
  10. 제 1 항에 있어서,
    상기 계획을 조정하는 단계는,
    검출된 변화가 일련의 웨이퍼에 영향을 미칠 수 있는지의 여부를 판정하는 단계; 및
    상기 일련의 웨이퍼에 영향을 미칠 수 있는지의 여부의 판정에 기초하여 일련의 웨이퍼 중에서 적어도 하나의 웨이퍼를 측정할지의 여부를 판정하는 단계를 구비하는 컴퓨터 구현 방법.
  11. 제 10 항에 있어서,
    적어도 하나의 웨이퍼를 포함하는 그룹 내에서 복수의 웨이퍼가 제공되며, 여기서 상기 계획은 측정되기 위해 이용가능한 그룹의 웨이퍼를 나타내는 제 1 정보, 및 상기 계획 하에서 측정될 그룹의 웨이퍼를 나타내는 제 2 정보를 더 구비하는 컴퓨터 구현 방법.
  12. 제 1 항에 있어서,
    상기 측정 결과가 적어도 하나의 웨이퍼의 측정에서 변경을 나타내는 경우 및/또는 결함이 제조 공정에서 검출되는 경우 중 적어도 하나의 경우에, 적어도 하나의 웨이퍼에 대한 측정 결과를 나타내는 정보를 폐기하는 단계를 더 구비하는 컴퓨터 구현 방법.
  13. 제 7 항에 있어서,
    상기 샘플링 계획은 적어도 하나의 웨이퍼의 중심(center)으로부터 방사상으로 된 복수의 스플라인(spline)을 구비하는 것, 및/또는 상기 후보 지점은 상기 스플라인을 따라 분포되는 것 중 적어도 하나인 컴퓨터 구현 방법.
  14. 제 13 항에 있어서,
    상기 스플라인을 따른 상기 후보 지점의 분포는 적어도 하나의 웨이퍼의 표면적에 따라 가중치가 부여되는(weighted) 컴퓨터 구현 방법.
  15. 제 7 항에 있어서,
    상기 샘플링 계획은 복수의 반지름 방향으로(radially) 분포되는 후보 지점을 포함하는 컴퓨터 구현 방법.
  16. 삭제
  17. 공정 제어 시스템에 의해 처리되는 복수의 웨이퍼로부터 적어도 하나의 웨이퍼에 대한 적어도 하나의 제조 특성을 측정하는 컴퓨터 구현 시스템으로서,
    상기 복수의 웨이퍼로부터 상기 적어도 하나의 웨이퍼에 대하여 상기 공정 제어 시스템에 의해 측정될 후보 지점의 집합을 나타내는 정보를 저장하고;
    상기 적어도 하나의 제조 특성을 측정하기 위해서 상기 적어도 하나의 웨이퍼에 대해 측정을 실행하기 위한 상기 복수의 웨이퍼를 위한 계획인, 상기 후보 지점의 집합에 대해 행해지는 상기 측정을 규정하는 상기 계획을 나타내는 정보를 저장하는 메모리; 및
    상기 복수의 웨이퍼의 제조 공정에서 결함을 검출하는 것, 및 상기 적어도 하나의 웨이퍼의 측정에서 변경을 검출하는 것 중 적어도 하나에 관한 변화로서, 상기 제조 공정에서의 상기 변화를 나타내는 복수의 이벤트 중 하나 또는 상기 복수의 이벤트 중 하나의 부족 여부를 검출하고;
    상기 복수의 웨이퍼를 처리하는 동안, 상기 검출된 이벤트 또는 상기 이벤트의 부족 여부에 기초하여 상기 복수의 웨이퍼로부터 보다 많은 또는 보다 적은 웨이퍼를 측정할 것인지의 여부를 판정하고;
    상기 복수의 웨이퍼로부터 웨이퍼를 처리하는 동안, 상기 복수의 웨이퍼로부터 보다 많은 웨이퍼를 측정하는 것으로 판정하는 경우 웨이퍼 간 측정 주파수를 증가시키고, 상기 복수의 웨이퍼로부터 보다 적은 웨이퍼를 측정하는 것으로 판정하는 경우 상기 웨이퍼 간 측정 주파수를 감소하여, 상기 계획을 조정하는 프로세서를 구비하는 컴퓨터 구현 시스템.
  18. 삭제
  19. 제 17 항에 있어서,
    상기 프로세서는 상기 계획의 측정을 웨이퍼 내로 더 조정하는 컴퓨터 구현 시스템.
  20. 제 17 항에 있어서,
    상기 프로세서에 연결 작동되는, 상기 웨이퍼에 대하여 측정을 실행하기 위한 적어도 하나의 계측 도구(tool)를 더 구비하는 컴퓨터 구현 시스템.
  21. 제 17 항에 있어서,
    상기 계획은 계측 레시피를 나타내는 정보를 더 포함하는 컴퓨터 구현 시스템.
  22. 제 17 항에 있어서,
    상기 후보 지점은 적어도 하나의 웨이퍼에 대응하는 맵에 포함되는 컴퓨터 구현 시스템.
  23. 제 17 항에 있어서,
    상기 계획은 소정의 샘플링 계획인 컴퓨터 구현 시스템.
  24. 제 17 항에 있어서,
    상기 계획은 상기 웨이퍼 상의 적어도 하나의 영역을 규정하고, 상기 후보 지점 각각은 적어도 하나의 영역에 대응하는 컴퓨터 구현 시스템.
  25. 제 17 항에 있어서,
    상기 계획의 조정은,
    상기 검출된 변화에 대응하는 적어도 하나의 영역을 판정하고;
    상기 판정된 영역에 대응하는 후보 지점에 대하여 적어도 하나의 측정을 선택하고;
    상기 계획하에 상기 선택된 적어도 하나의 측정을 실행되어야 할 추가 측정으로서 배정(assign)하거나, 제거되어야 할 측정으로서 배정하고; 그리고
    상기 측정, 상기 선택된 측정 및 상기 계획 중 적어도 하나를 수정(revise)하는 것을 구비하는 컴퓨터 구현 시스템.
  26. 제 17 항에 있어서,
    상기 계획의 수정은,
    상기 검출된 변화가 복수의 웨이퍼로부터 일련의 웨이퍼에 영향을 주는지의 여부를 상기 프로세서에서 판정하고;
    상기 일련의 웨이퍼에 영향을 주는지의 여부의 판정에 기초하여 일련의 웨이퍼 중에서 적어도 하나의 웨이퍼를 측정할 것인지의 여부를 판정함으로써, 조정되는 컴퓨터 구현 시스템.
  27. 제 26 항에 있어서,
    적어도 하나의 웨이퍼를 포함하는 그룹 내에서 복수의 웨이퍼가 제공되며,
    상기 계획은,
    측정되기 위해 이용가능한 그룹의 웨이퍼를 나타내는 제 1 정보; 및
    상기 계획 하에서 측정될 그룹의 웨이퍼를 나타내는 제 2 정보를 더 포함하는 컴퓨터 구현 시스템.
  28. 제 17 항에 있어서,
    상기 메모리는,
    상기 측정 결과가 적어도 하나의 웨이퍼의 측정에서 변경을 나타내는 경우 및/또는 결함이 제조 공정에서 검출되는 경우 중 적어도 하나를 제외하고, 적어도 하나의 웨이퍼에 대한 측정 결과를 나타내는 정보를 더 저장하는 컴퓨터 구현 시스템.
  29. 제 23 항에 있어서,
    상기 샘플링 계획은 적어도 하나의 웨이퍼의 중심(center)으로부터 방사상으로 된 복수의 스플라인을 포함하는 것, 상기 후보 지점은 상기 스플라인을 따라 분포되는 것 중 적어도 하나인 컴퓨터 구현 시스템.
  30. 제 29 항에 있어서,
    상기 스플라인을 따른 상기 후보 지점의 분포는 적어도 하나의 웨이퍼의 표면적에 따라 가중치가 부여되는 컴퓨터 구현 시스템.
  31. 제 17 항에 있어서,
    상기 샘플링 계획은 반지름 방향으로 분포되는 복수의 후보 지점을 포함하는 컴퓨터 구현 시스템.
  32. 삭제
  33. 컴퓨터 판독가능 매체에 저장되고, 공정 제어 시스템에 의해 처리되는 복수의 웨이퍼로부터 적어도 하나의 웨이퍼에 대한 적어도 하나의 제조 특성을 측정하는 컴퓨터 프로그램을 기록한 기록 매체로서,
    상기 복수의 웨이퍼로부터 상기 적어도 하나의 웨이퍼에 대해 상기 공정 제어 시스템에 의해 측정될 후보 지점의 집합을 나타내는 정보를 제공하는 명령;
    상기 적어도 하나의 제조 특성을 측정하기 위해 상기 적어도 하나의 웨이퍼에 대해 측정을 실행하기 위한 상기 복수의 웨이퍼에 대한 계획인, 상기 후보 지점의 집합에 대하여 행해질 상기 측정을 규정하는 상기 계획을 상기 공정 제어 시스템에 의해 실행시키는 명령;
    상기 복수의 웨이퍼의 제조 공정에서 결함을 검출하는 것, 및 상기 적어도 하나의 웨이퍼의 측정에서 변경을 검출하는 것 중 적어도 하나에 관한 변화로서, 상기 제조 공정에서의 상기 변화를 나타내는 복수의 이벤트 중 하나 또는 상기 복수의 이벤트 중 하나의 부족 여부를 상기 공정 제어 세스템에 의해 검출하는 명령;
    상기 복수의 웨이퍼를 처리하는 동안, 상기 검출된 이벤트 또는 상기 이벤트의 부족 여부에 기초하여 상기 복수의 웨이퍼로부터 보다 많은 또는 보다 적은 웨이퍼를 측정할 것인지의 여부를 상기 공정 제어 시스템에 의해 판정하는 명령; 및
    상기 복수의 웨이퍼로부터 웨이퍼를 처리하는 동안, 상기 복수의 웨이퍼로부터 보다 많은 웨이퍼를 측정하는 것으로 판정하는 경우 웨이퍼 간 측정 주파수를 증가시키고, 상기 복수의 웨이퍼로부터 보다 적은 웨이퍼를 측정하는 것으로 판정하는 경우 상기 웨이퍼 간 측정 주파수를 감소하여 상기 계획을 조정하는 명령을 구비하는 컴퓨터 프로그램을 기록한 기록 매체.
  34. 삭제
  35. 제 33 항에 있어서,
    상기 계획의 측정을 웨이퍼 내로 조정하는 명령을 더 구비하는 컴퓨터 프로그램을 기록한 기록 매체.
  36. 제 33 항에 있어서,
    상기 제조 공정은 자동화된 반도체 제조 공정인 컴퓨터 프로그램을 기록한 기록 매체.
  37. 제 33 항에 있어서,
    상기 계획은 계측 레시피를 나타내는 정보를 더 포함하는 컴퓨터 프로그램을 기록한 기록 매체.
  38. 제 33 항에 있어서,
    상기 후보 지점은 적어도 하나의 웨이퍼에 대응하는 맵에 포함되는 컴퓨터 프로그램을 기록한 기록 매체.
  39. 제 33 항에 있어서,
    상기 계획은 소정의 샘플링 계획인 컴퓨터 프로그램을 기록한 기록 매체.
  40. 제 33 항에 있어서,
    상기 계획은 상기 웨이퍼 위에 적어도 하나의 영역을 규정하고, 상기 후보 지점의 각각은 적어도 하나의 영역에 대응하는 컴퓨터 프로그램을 기록한 기록 매체.
  41. 제 40 항에 있어서,
    상기 계획을 조정하는 것은, 상기 검출된 변화에 대응하여 적어도 하나의 영역을 판정하고;
    상기 판정된 영역에 대응하는 후보 지점에 대하여 적어도 하나의 측정을 선택하고;
    상기 계획하에 상기 선택된 적어도 하나의 측정을 실행되어야 할 추가 측정으로서 배정(assign)하거나, 제거되어야 할 측정으로서 배정하는 단계; 및
    상기 측정, 상기 선택된 측정 및 상기 계획 중 적어도 하나를 수정(revise)하는 단계를 구비하는 컴퓨터 프로그램을 기록한 기록 매체.
  42. 제 33 항에 있어서,
    상기 계획을 조정하는 것은,
    상기 검출된 변화가 일련의 웨이퍼에 영향을 미치는지의 여부를 판정하는 것; 및
    상기 일련의 웨이퍼에 영향을 미치는지의 여부의 판정에 기초하여, 일련의 웨이퍼 중에서 적어도 하나의 웨이퍼를 측정할지의 여부를 판정하는 것을 구비하는 컴퓨터 프로그램을 기록한 기록 매체.
  43. 제 42 항에 있어서,
    적어도 하나의 웨이퍼를 포함하는 그룹 내에서 복수의 웨이퍼가 제공되며, 상기 계획은 측정되기 위해 이용가능한 그룹의 웨이퍼를 나타내는 제 1 정보, 및 상기 계획 하에서 측정될 그룹의 웨이퍼를 나타내는 제 2 정보를 더 구비하는 컴퓨터 프로그램을 기록한 기록 매체.
  44. 제 33 항에 있어서,
    상기 측정 결과가 적어도 하나의 웨이퍼의 측정에서 변경을 나타내는 경우 및/또는 결함이 제조 공정에서 검출되는 경우 중 적어도 하나의 경우에, 적어도 하나의 웨이퍼에 대한 측정 결과를 나타내는 정보를 폐기하는 명령을 더 구비하는 컴퓨터 프로그램을 기록한 기록 매체.
  45. 제 39 항에 있어서,
    상기 샘플링 계획은 적어도 하나의 웨이퍼의 중심으로부터 방사상으로 된 복수의 스플라인을 포함하는 것, 그리고 상기 후보 지점은 상기 스플라인을 따라 분포되는 것 중 적어도 하나인 컴퓨터 프로그램을 기록한 기록 매체.
  46. 제 45 항에 있어서,
    상기 스플라인을 따른 상기 후보 지점의 분포는 적어도 하나의 웨이퍼의 표면적에 따라 가중치가 부여되는 컴퓨터 프로그램을 기록한 기록 매체.
  47. 제 39 항에 있어서,
    상기 샘플링 계획은 반지름 방향으로 분포되는 복수의 후보 지점을 포함하는 컴퓨터 프로그램을 기록한 기록 매체.
  48. 삭제
  49. 공정 제어 시스템에 의해 처리되는 복수의 웨이퍼로부터 적어도 하나의 웨이퍼에 대한 적어도 하나의 제조 특성을 측정하는 컴퓨터 구현 시스템으로서,
    상기 복수의 웨이퍼로부터 상기 적어도 하나의 웨이퍼에 대한 상기 공정 제어 시스템에 의해 측정될 후보 지점의 집합을 나타내는 수단;
    상기 복수의 웨이퍼로부터 상기 적어도 하나의 웨이퍼에 대해 상기 공정 제어 시스템에 의해 측정될 후보 지점의 집합을 나타내는 정보를 제공하는 수단;
    상기 복수의 웨이퍼의 제조 공정에서 결함을 검출하는 것, 및 상기 적어도 하나의 웨이퍼의 측정에서 변경을 검출하는 것 중 적어도 하나에 관한 변화로서, 상기 제조 공정에서의 상기 변화를 나타내는 복수의 이벤트 중 하나 또는 상기 복수의 이벤트 중 하나의 부족 여부를 검출하는 수단;
    상기 복수의 웨이퍼를 처리하는 동안, 상기 검출된 이벤트 또는 상기 이벤트의 부족 여부에 기초하여 상기 복수의 웨이퍼로부터 보다 많은 또는 보다 적은 웨이퍼를 측정할 것인지의 여부를 판정하는 수단; 및
    상기 복수의 웨이퍼로부터 웨이퍼를 처리하는 동안, 상기 복수의 웨이퍼로부터 보다 많은 웨이퍼를 측정하는 것으로 판정하는 경우 웨이퍼 간 측정 주파수를 증가시키고, 상기 복수의 웨이퍼로부터 보다 적은 웨이퍼를 측정하는 것으로 판정하는 경우 상기 웨이퍼 간 측정 주파수를 감소하여 상기 계획을 조정하는 수단을 구비하는 컴퓨터 구현 시스템.
  50. 삭제
  51. 제 49 항에 있어서,
    상기 검출 수단은 상기 계획의 측정을 웨이퍼 내로 조정하는 것을 더 포함하는 컴퓨터 구현 시스템.
  52. 제 49 항에 있어서,
    상기 제조 공정은 자동화된 반도체 제조 공정이며, 적어도 상기 웨이퍼에 대하여 측정을 실행하는 수단을 더 구비하는 컴퓨터 구현 시스템.
  53. 제 49 항에 있어서,
    상기 계획은 계측 레시피를 나타내는 정보를 더 포함하는 컴퓨터 구현 시스템.
  54. 제 49 항에 있어서,
    상기 후보 지점은 적어도 하나의 웨이퍼에 대응하는 맵에 포함되는 컴퓨터 구현 시스템.
  55. 제 49 항에 있어서,
    상기 계획은 소정의 샘플링 계획인 컴퓨터 구현 시스템.
  56. 제 49 항에 있어서,
    상기 계획은 상기 웨이퍼 상에 적어도 하나의 영역을 규정하고, 상기 후보 지점의 각각은 상기 적어도 하나의 영역에 대응하는 컴퓨터 구현 시스템.
  57. 제 56 항에 있어서,
    상기 계획을 조정하는 수단은,
    상기 검출된 변화에 대응하는 적어도 하나의 영역을 판정하고;
    상기 판정된 영역에 대응하는 후보 지점에 대하여 적어도 하나의 측정을 선택하고;
    상기 계획하에 상기 선택된 적어도 하나의 측정을 실행되어야 할 추가 측정으로서 배정하거나, 제거되어야 할 측정으로서 배정하는;
    그리고 상기 측정, 상기 선택된 측정 및 상기 계획 중 적어도 하나를 수정하는 것을 구비하는 컴퓨터 구현 시스템.
  58. 제 49 항에 있어서,
    상기 계획을 조정하는 수단은,
    상기 검출된 변화가 일련의 웨이퍼에 영향을 주는지의 여부를 판정하고;
    상기 웨이퍼에 영향을 주는지의 여부의 판정에 기초하여, 일련의 웨이퍼 중에서 적어도 하나의 웨이퍼를 측정할 것인지의 여부를 판정하는 것을 구비하는 컴퓨터 구현 시스템.
  59. 제 58 항에 있어서,
    적어도 하나의 웨이퍼를 포함하는 그룹 내에서 복수의 웨이퍼가 제공되며,
    상기 계획은,
    측정되기 위해 이용가능한 그룹의 웨이퍼를 나타내는 제 1 정보; 및
    상기 계획 하에서 측정될 그룹의 웨이퍼를 나타내는 제 2 정보를 더 구비하는 컴퓨터 구현 시스템.
  60. 제 49 항에 있어서,
    상기 측정 결과가 적어도 하나의 웨이퍼의 측정에서 변경을 나타내는 경우, 및/또는 결함이 제조 공정에서 검출되는 경우 중 적어도 하나를 제외하고, 적어도 하나의 웨이퍼에 대한 측정 결과를 나타내는 수단을 더 구비하는 컴퓨터 구현 시스템.
  61. 제 55 항에 있어서,
    상기 샘플링 계획은 적어도 하나의 웨이퍼의 중심으로부터 방사상으로 된 복수의 스플라인을 포함하는 것, 그리고 상기 후보 지점은 상기 스플라인을 따라 분포되는 것 중 적어도 하나인 컴퓨터 구현 시스템.
  62. 제 61 항에 있어서,
    상기 스플라인을 따른 상기 후보 지점의 분포는 적어도 하나의 웨이퍼의 표면적에 따라 가중치가 부여되는 컴퓨터 구현 시스템.
  63. 제 49 항에 있어서,
    상기 샘플링 계획은 반지름 방향으로 분포되는 복수의 후보 지점을 포함하는 컴퓨터 구현 시스템.
KR1020037016659A 2001-06-19 2002-06-17 제조 특성을 측정하는 컴퓨터 구현 방법, 컴퓨터 구현 시스템, 컴퓨터 프로그램 및 컴퓨터 구현 프로그램 KR100934627B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US32245901P 2001-09-17 2001-09-17
US60/322,459 2001-09-17
US10/135,451 US7698012B2 (en) 2001-06-19 2002-05-01 Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US10/135,451 2002-05-01
PCT/US2002/019116 WO2002103778A2 (en) 2001-06-19 2002-06-17 Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing

Publications (2)

Publication Number Publication Date
KR20040063086A KR20040063086A (ko) 2004-07-12
KR100934627B1 true KR100934627B1 (ko) 2009-12-31

Family

ID=27384707

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037016659A KR100934627B1 (ko) 2001-06-19 2002-06-17 제조 특성을 측정하는 컴퓨터 구현 방법, 컴퓨터 구현 시스템, 컴퓨터 프로그램 및 컴퓨터 구현 프로그램

Country Status (6)

Country Link
US (3) US7698012B2 (ko)
EP (1) EP1399961A2 (ko)
JP (1) JP4418669B2 (ko)
KR (1) KR100934627B1 (ko)
CN (1) CN100399525C (ko)
WO (1) WO2002103778A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130114132A (ko) * 2010-09-30 2013-10-16 케이엘에이-텐코 코포레이션 서브 샘플링 방식을 이용하여 툴-유도 시프트를 제공하는 방법 및 시스템

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9785140B2 (en) 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US6821792B1 (en) * 2001-12-18 2004-11-23 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment state information
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6834212B1 (en) * 2002-07-03 2004-12-21 Blue Control Technologies, Inc. Method and apparatus for APC solver engine and heuristic
US8185230B2 (en) * 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
US6853873B1 (en) 2003-02-21 2005-02-08 Nanometrics Incorporated Enhanced throughput of a metrology tool
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
US20050021272A1 (en) * 2003-07-07 2005-01-27 Jenkins Naomi M. Method and apparatus for performing metrology dispatching based upon fault detection
JP2005051210A (ja) * 2003-07-15 2005-02-24 Matsushita Electric Ind Co Ltd 面内分布データの圧縮法、面内分布の測定方法、面内分布の最適化方法、プロセス装置の管理方法及びプロセス管理方法
US6947805B1 (en) * 2003-08-04 2005-09-20 Advanced Micro Devices, Inc. Dynamic metrology sampling techniques for identified lots, and system for performing same
CN1590989A (zh) * 2003-08-27 2005-03-09 上海宏力半导体制造有限公司 缺陷分析抽样控制系统及方法
US6965808B1 (en) * 2004-04-28 2005-11-15 International Business Machines Corporation System and method for optimizing metrology sampling in APC applications
US6922600B1 (en) 2004-04-28 2005-07-26 International Business Machines Corporation System and method for optimizing manufacturing processes using real time partitioned process capability analysis
US7289865B2 (en) * 2004-07-14 2007-10-30 Asm America, Inc. Optimization algorithm to optimize within substrate uniformities
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7519447B1 (en) * 2004-10-05 2009-04-14 Advanced Micro Devices, Inc. Method and apparatus for integrating multiple sample plans
US7296103B1 (en) 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
FR2878075B1 (fr) * 2004-11-15 2007-03-02 Soitec Silicon On Insulator Procede et appareil de mesure de plaques de semi-conducteur
JP4693464B2 (ja) * 2005-04-05 2011-06-01 株式会社東芝 品質管理システム、品質管理方法及びロット単位のウェハ処理方法
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
CN100461361C (zh) * 2005-07-14 2009-02-11 中芯国际集成电路制造(上海)有限公司 用于半导体器件制造的测量工具的校准方法
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US7337033B1 (en) * 2006-07-28 2008-02-26 International Business Machines Corporation Data mining to detect performance quality of tools used repetitively in manufacturing
US20090089024A1 (en) * 2007-09-28 2009-04-02 Chung-Ho Huang Methods and arrangement for creating models for fine-tuning recipes
JP2009176909A (ja) * 2008-01-24 2009-08-06 Toshiba Corp 抜取検査の評価方法及び抜取検査の評価装置
US7895008B2 (en) * 2008-03-17 2011-02-22 International Business Machines Corporation Method of performing measurement sampling of lots in a manufacturing process
US20090291510A1 (en) * 2008-05-20 2009-11-26 International Business Machines Corporation Method for creating wafer test pattern
US8221193B2 (en) * 2008-08-07 2012-07-17 Applied Materials, Inc. Closed loop control of pad profile based on metrology feedback
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US8392009B2 (en) * 2009-03-31 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control with novel sampling policy
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9287092B2 (en) * 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US8433434B2 (en) * 2009-07-09 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Near non-adaptive virtual metrology and chamber control
FR2948494B1 (fr) * 2009-07-27 2011-09-16 Soitec Silicon On Insulator Procede de determination d'une position centree d'un substrat semi-conducteur dans un four de recuit, dispositif pour traiter thermiquement des substrats semi-conducteurs et procede pour calibrer un tel dispositif
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
US9014827B2 (en) * 2010-01-14 2015-04-21 International Business Machines Corporation Dynamically generating a manufacturing production work flow with selectable sampling strategies
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US8359866B2 (en) * 2010-02-04 2013-01-29 United Technologies Corporation Combustor liner segment seal member
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
CN102194655B (zh) * 2010-03-15 2013-04-10 中芯国际集成电路制造(上海)有限公司 半导体工艺机台参数优化调整的方法
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP2011245610A (ja) 2010-05-31 2011-12-08 Mitsubishi Electric Corp 半導体装置の製造方法
TWI467645B (zh) * 2010-08-25 2015-01-01 Macronix Int Co Ltd 化學機械研磨方法與系統
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8527081B2 (en) 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
US8565910B2 (en) 2011-02-04 2013-10-22 International Business Machines Corporation Manufacturing execution system (MES) including a wafer sampling engine (WSE) for a semiconductor manufacturing process
US8774958B2 (en) 2011-04-29 2014-07-08 Applied Materials, Inc. Selection of polishing parameters to generate removal profile
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US9508612B2 (en) * 2012-03-15 2016-11-29 Applied Materials, Inc. Method to detect wafer arcing in semiconductor manufacturing equipment
US9280151B2 (en) * 2012-05-15 2016-03-08 Wafertech, Llc Recipe management system and method
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6377060B2 (ja) 2012-08-28 2018-08-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
US10267746B2 (en) * 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US9406617B1 (en) 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
WO2017194289A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Method of obtaining measurements, apparatus for performing a process step and metrology apparatus
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US9768118B1 (en) 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
JP6765926B2 (ja) * 2016-10-07 2020-10-07 株式会社ディスコ 加工装置
KR102301556B1 (ko) * 2017-05-22 2021-09-13 케이엘에이 코포레이션 레시피 최적화 및 측정을 위한 구역 분석
US11181882B2 (en) * 2017-06-19 2021-11-23 The Boeing Company Dynamic modification of production plans responsive to manufacturing deviations
KR102408685B1 (ko) * 2017-10-16 2022-06-15 삼성전자주식회사 반도체 소자의 제조를 위한 공정 제어 방법 및 시스템
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
EP3579051A1 (en) 2018-06-07 2019-12-11 ASML Netherlands B.V. Generation of sampling scheme
TWI729334B (zh) 2018-06-07 2021-06-01 荷蘭商Asml荷蘭公司 用於判定器件之控制方案的方法、電腦程式和系統及用於判定多個器件處理之基板的方法
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US11989492B2 (en) 2018-12-26 2024-05-21 Applied Materials, Inc. Preston matrix generator
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
EP3848767B1 (de) * 2020-01-13 2023-11-01 Hexagon Technology Center GmbH Verfahren zur qualitätskontrolle von werkstücken sowie koordinatenmessgerät und computerprogramm
US11126769B2 (en) * 2020-02-04 2021-09-21 Applied Materials, Inc. Unified material-to-systems simulation, design, and verification for semiconductor design and manufacturing
CN112201587B (zh) * 2020-09-18 2023-12-22 全芯智造技术有限公司 晶圆测量方法
US11969854B2 (en) 2021-03-05 2024-04-30 Applied Materials, Inc. Control of processing parameters during substrate polishing using expected future parameter changes
WO2022187105A1 (en) 2021-03-05 2022-09-09 Applied Materials, Inc. Control of processing parameters for substrate polishing with substrate precession
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999059200A1 (en) * 1998-05-11 1999-11-18 Applied Materials, Inc. Fab yield enhancement system
US6002989A (en) * 1996-04-02 1999-12-14 Hitachi, Ltd. System for quality control where inspection frequency of inspection apparatus is reset to minimize expected total loss based on derived frequency function and loss value

Family Cites Families (405)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US135405A (en) * 1873-02-04 Improvement in breech-loading fire-arms
US363966A (en) * 1887-05-31 Traction-engine
US619044A (en) * 1899-02-07 Assay-furnace
US100184A (en) * 1870-02-22 safes
US469227A (en) * 1892-02-23 William g
US725908A (en) * 1902-02-24 1903-04-21 Parker Wineman Machine for sawing staves.
US811667A (en) * 1905-07-07 1906-02-06 Henry Cooper Seddon Fuse for projectiles.
US927444A (en) * 1907-02-08 1909-07-06 Sullivan Machinery Co Stone or rock channeling machine.
US928474A (en) * 1908-04-14 1909-07-20 Dale Company Adapter.
US928473A (en) * 1908-10-05 1909-07-20 Albert Ruffin Cyclometer for bicycles.
US998372A (en) * 1910-07-25 1911-07-18 Robert S Mills Safety attachment for cars.
US998384A (en) * 1911-03-06 1911-07-18 George Clarence Openshaw Novelty match-safe.
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229197A (en) * 1961-10-31 1966-01-11 Jr Claus J Renken Pulsed electromagnetic field system for nondestructive testing
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ko) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
IT1072972B (it) * 1976-10-04 1985-04-13 Fonderia Elettrica Alluminio Locale dei servizi per inserimento finito in un edificio
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
US4717596A (en) 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4974543A (en) 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
EP0397924B1 (en) 1989-05-17 1995-11-29 Koninklijke Philips Electronics N.V. Work station controller module
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
DE4029440A1 (de) * 1990-09-17 1992-03-19 Windmoeller & Hoelscher Verfahren zum herstellen von kunststoffbeuteln
DE69132012T2 (de) 1990-10-16 2000-11-16 Consilium Inc Objektorientierte architektur für fabrikverwaltung
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5347446A (en) 1991-02-08 1994-09-13 Kabushiki Kaisha Toshiba Model predictive control apparatus
US5430836A (en) 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
JP3013946B2 (ja) * 1992-02-18 2000-02-28 大同メタル工業株式会社 耐焼付性に優れた多層複合摺動材料
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5733171A (en) 1996-07-18 1998-03-31 Speedfam Corporation Apparatus for the in-process detection of workpieces in a CMP environment
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5428555A (en) 1993-04-20 1995-06-27 Praxair, Inc. Facility and gas management system
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5546179A (en) 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5535128A (en) 1995-02-09 1996-07-09 The United States Of America As Represented By The Secretary Of The Air Force Hierarchical feedback control of pulsed laser deposition
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5711843A (en) 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US6036349A (en) 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
KR0153617B1 (ko) 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
US5751582A (en) 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
WO1997012300A1 (en) 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
KR100200480B1 (ko) 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US6094600A (en) 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
EP0895279A4 (en) 1996-03-06 2006-04-19 Hitachi Ltd METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
EP1909318A3 (en) 1996-03-19 2009-12-09 Hitachi, Ltd. Process management system
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
WO1997036164A1 (en) 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
US5859777A (en) 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5910846A (en) 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH1086040A (ja) 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
US5664990A (en) 1996-07-29 1997-09-09 Integrated Process Equipment Corp. Slurry recycling in CMP apparatus
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
JPH10106917A (ja) 1996-10-02 1998-04-24 Toshiba Corp 半導体装置製造用生産システム
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5859964A (en) 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5874345A (en) 1996-11-18 1999-02-23 International Business Machines Corporation Method for planarizing TEOS SiO2 filled shallow isolation trenches
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6078845A (en) 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US6128016A (en) 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US6094688A (en) 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
JPH10329015A (ja) 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
TW396308B (en) 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
US5912678A (en) 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
KR100272252B1 (ko) 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6240330B1 (en) 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6012048A (en) 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US5975994A (en) 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
JPH118170A (ja) 1997-06-13 1999-01-12 Canon Inc 半導体処理システムおよびデバイス製造方法
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
TW436369B (en) 1997-07-11 2001-05-28 Tokyo Seimitsu Co Ltd Wafer polishing device
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6345315B1 (en) 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (ko) 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5916016A (en) 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6041270A (en) 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6113462A (en) 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100258841B1 (ko) 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
KR100251279B1 (ko) 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
EP0932195A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ supervision
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
KR100278600B1 (ko) 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
KR19990065486A (ko) 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
TW400621B (en) 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
US5985497A (en) 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
KR100297371B1 (ko) 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US6271670B1 (en) 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6123983A (en) 1998-04-23 2000-09-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6017771A (en) 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6157864A (en) 1998-05-08 2000-12-05 Rockwell Technologies, Llc System, method and article of manufacture for displaying an animated, realtime updated control sequence chart
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6074517A (en) 1998-07-08 2000-06-13 Lsi Logic Corporation Method and apparatus for detecting an endpoint polishing layer by transmitting infrared light signals through a semiconductor wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
US6169931B1 (en) 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6277014B1 (en) 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6226792B1 (en) 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
US6210983B1 (en) 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6159073A (en) 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6173240B1 (en) 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (ja) 1998-11-19 2004-01-19 沖電気工業株式会社 半導体装置製造工程の搬送方法
US6214734B1 (en) 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP4365914B2 (ja) 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
JP2000183001A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法およびそれに用いる化学機械研磨装置
JP2000183002A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法および研磨終点検出装置
US6172756B1 (en) 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
JP3202710B2 (ja) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 液晶プロジェクタ用ダイクロイックプリズム
EP1141654B1 (de) 1998-12-18 2005-03-02 Micro-Epsilon Messtechnik GmbH & Co. KG Betreiben eines wirbelstromsensors
US6339727B1 (en) 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6252412B1 (en) 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6194231B1 (en) 1999-03-01 2001-02-27 National Tsing Hua University Method for monitoring polishing pad used in chemical-mechanical planarization process
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
WO2000054325A1 (en) * 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
JP2000269286A (ja) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp 半導体基板の欠陥位置特定方法
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US6317643B1 (en) 1999-03-31 2001-11-13 Agere Systems Guardian Corp. Manufacturing and engineering data base
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6281127B1 (en) 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6334807B1 (en) 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
WO2000073973A1 (en) 1999-05-28 2000-12-07 University Of South Florida Computer vision-based technique for objective assessment of material properties in non-rigid objects
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
AU5881700A (en) 1999-06-22 2001-01-09 Brooks Automation, Inc. Run-to-run controller for use in microelectronic fabrication
US6204165B1 (en) 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6210745B1 (en) 1999-07-08 2001-04-03 National Semiconductor Corporation Method of quality control for chemical vapor deposition
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
EP1067757A1 (en) 1999-07-09 2001-01-10 Hewlett-Packard Company Curled surface imaging system
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6577914B1 (en) 1999-08-10 2003-06-10 Advanced Micro Devices, Inc. Method and apparatus for dynamic model building based on machine disturbances for run-to-run control of semiconductor devices
US6368883B1 (en) 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6607926B1 (en) * 1999-08-10 2003-08-19 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
US6217412B1 (en) 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6495452B1 (en) 1999-08-18 2002-12-17 Taiwan Semiconductor Manufacturing Company Method to reduce capacitance for copper interconnect structures
US6391780B1 (en) 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6424880B1 (en) 1999-09-10 2002-07-23 Applied Materials, Inc. Multi-computer chamber control system, method and medium
US6368879B1 (en) 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6484064B1 (en) 1999-10-05 2002-11-19 Advanced Micro Devices, Inc. Method and apparatus for running metrology standard wafer routes for cross-fab metrology calibration
US6427093B1 (en) 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6417014B1 (en) 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6096649A (en) 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6546508B1 (en) 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6532555B1 (en) 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
AU8018200A (en) 1999-10-31 2001-05-14 Insyst Ltd. A knowledge-engineering protocol-suite
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6355559B1 (en) 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6449524B1 (en) 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6465263B1 (en) 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6469518B1 (en) * 2000-01-07 2002-10-22 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
US7051015B1 (en) 2000-01-10 2006-05-23 Wind River Systems, Inc. System and method for implementing a flexible data-driven target object model
US6477432B1 (en) 2000-01-11 2002-11-05 Taiwan Semiconductor Manufacturing Company Statistical in-process quality control sampling based on product stability through a systematic operation system and method
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
JP3506114B2 (ja) 2000-01-25 2004-03-15 株式会社ニコン モニタ装置及びこのモニタ装置を具えた研磨装置及び研磨方法
US8028049B1 (en) 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6517414B1 (en) 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
TW478101B (en) 2000-03-23 2002-03-01 Ibm Structure for protecting copper interconnects in low dielectric constant materials from oxidation
JP4874465B2 (ja) 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
WO2001075534A2 (en) 2000-04-03 2001-10-11 Speedfam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6368884B1 (en) 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001305108A (ja) 2000-04-21 2001-10-31 Daido Steel Co Ltd 渦流探傷装置
JP2001326151A (ja) 2000-05-16 2001-11-22 Nec Corp 半導体集積回路製作システム
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
AU2001282879A1 (en) 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6878038B2 (en) 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6609946B1 (en) 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6379980B1 (en) 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6725402B1 (en) 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6625513B1 (en) 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6711731B2 (en) 2000-08-23 2004-03-23 Pri Automation, Inc. Web based tool control in a semiconductor fabrication facility
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
US6537912B1 (en) 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
CN1186700C (zh) 2000-09-15 2005-01-26 先进微装置公司 半导体制造中用来改进控制的自调适取样方法
JP2002093761A (ja) 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR100366630B1 (ko) 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
KR20020022530A (ko) 2000-09-20 2002-03-27 가나이 쓰도무 반도체제조장치의 원격진단시스템 및 원격진단방법
JP3634734B2 (ja) 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6492281B1 (en) 2000-09-22 2002-12-10 Advanced Micro Devices, Inc. Method of fabricating conductor structures with metal comb bridging avoidance
US6766283B1 (en) 2000-10-13 2004-07-20 Insyst Ltd. System and method for monitoring process quality control
US6432728B1 (en) 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6805613B1 (en) 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
JP2002124496A (ja) 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6346426B1 (en) 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6728587B2 (en) 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US6336841B1 (en) 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6582277B2 (en) 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
WO2002091248A1 (en) 2001-05-04 2002-11-14 Therma-Wave, Inc. Systems and methods for metrology recipe and model generation
JP4858798B2 (ja) 2001-05-15 2012-01-18 株式会社ニコン 研磨装置、研磨方法およびこの研磨装置を用いた半導体デバイス製造方法
US7079996B2 (en) 2001-05-30 2006-07-18 Ford Global Technologies, Llc System and method for design of experiments using direct surface manipulation of a mesh model
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
JP2002373843A (ja) 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US6678570B1 (en) 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6630741B1 (en) 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6515368B1 (en) 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6774998B1 (en) 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6660633B1 (en) 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US6751518B1 (en) 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002989A (en) * 1996-04-02 1999-12-14 Hitachi, Ltd. System for quality control where inspection frequency of inspection apparatus is reset to minimize expected total loss based on derived frequency function and loss value
WO1999059200A1 (en) * 1998-05-11 1999-11-18 Applied Materials, Inc. Fab yield enhancement system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130114132A (ko) * 2010-09-30 2013-10-16 케이엘에이-텐코 코포레이션 서브 샘플링 방식을 이용하여 툴-유도 시프트를 제공하는 방법 및 시스템
KR101869573B1 (ko) 2010-09-30 2018-06-20 케이엘에이-텐코 코포레이션 서브 샘플링 방식을 이용하여 툴-유도 시프트를 제공하는 방법 및 시스템

Also Published As

Publication number Publication date
US20020193899A1 (en) 2002-12-19
EP1399961A2 (en) 2004-03-24
WO2002103778A2 (en) 2002-12-27
US7698012B2 (en) 2010-04-13
US7725208B2 (en) 2010-05-25
KR20040063086A (ko) 2004-07-12
US20080133163A1 (en) 2008-06-05
CN100399525C (zh) 2008-07-02
CN1602547A (zh) 2005-03-30
US20080109089A1 (en) 2008-05-08
US7783375B2 (en) 2010-08-24
WO2002103778A3 (en) 2003-12-11
JP4418669B2 (ja) 2010-02-17
JP2005505124A (ja) 2005-02-17

Similar Documents

Publication Publication Date Title
KR100934627B1 (ko) 제조 특성을 측정하는 컴퓨터 구현 방법, 컴퓨터 구현 시스템, 컴퓨터 프로그램 및 컴퓨터 구현 프로그램
JP4163145B2 (ja) ウェハの研磨方法
US7016750B2 (en) Method, device, computer-readable storage medium and computer program element for monitoring of a manufacturing process
US6563300B1 (en) Method and apparatus for fault detection using multiple tool error signals
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
US6684124B2 (en) Method for controlling a processing device for a sequential processing of semiconductor wafers
US7027943B2 (en) Method, device, computer-readable storage medium and computer program element for the computer-aided monitoring of a process parameter of a manufacturing process of a physical object
US6909933B2 (en) Method, device, computer-readable memory and computer program element for the computer-aided monitoring and controlling of a manufacturing process
TW202111454A (zh) 物料加工路徑選擇方法及裝置
US6665623B1 (en) Method and apparatus for optimizing downstream uniformity
US6821792B1 (en) Method and apparatus for determining a sampling plan based on process and equipment state information
WO2023000411A1 (zh) 半导体制程的控制方法、装置、设备及存储介质
KR20020063301A (ko) 하드웨어 연령과 사용을 기반으로 측정 주파수를결정하기위한 방법 및 장치
US6754593B1 (en) Method and apparatus for measuring defects
US7348187B2 (en) Method, device, computer-readable storage medium and computer program element for the monitoring of a manufacturing process of a plurality of physical objects
US7292959B1 (en) Total tool control for semiconductor manufacturing
JP4274813B2 (ja) 製品ウェハの処理レシピ決定方法
US6901340B1 (en) Method and apparatus for distinguishing between sources of process variation
US6697696B1 (en) Fault detection control system using dual bus architecture, and methods of using same
US7783455B1 (en) Methods and systems for analyzing process equipment processing variations using sensor data
US7321993B1 (en) Method and apparatus for fault detection classification of multiple tools based upon external data
US6763278B1 (en) Operating a processing tool in a degraded mode upon detecting a fault
JP2006513561A (ja) パラレル欠陥検出
CN112201587B (zh) 晶圆测量方法
US6792389B1 (en) Method of dynamically enabling additional sensors based upon initial sensor data, and system for accomplishing same

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee