KR100727698B1 - 실리콘 질화물 막 형성을 위한 초박형 옥시니트라이드의 uv 예비 처리 방법 - Google Patents

실리콘 질화물 막 형성을 위한 초박형 옥시니트라이드의 uv 예비 처리 방법 Download PDF

Info

Publication number
KR100727698B1
KR100727698B1 KR1020027013822A KR20027013822A KR100727698B1 KR 100727698 B1 KR100727698 B1 KR 100727698B1 KR 1020027013822 A KR1020027013822 A KR 1020027013822A KR 20027013822 A KR20027013822 A KR 20027013822A KR 100727698 B1 KR100727698 B1 KR 100727698B1
Authority
KR
South Korea
Prior art keywords
silicon nitride
nitride film
range
torr
oxynitride layer
Prior art date
Application number
KR1020027013822A
Other languages
English (en)
Other versions
KR20030009436A (ko
Inventor
싱-핀 테이
사지 레비
야오 치 후
제프리 겔피
Original Assignee
맛선 테크놀러지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맛선 테크놀러지, 인코포레이티드 filed Critical 맛선 테크놀러지, 인코포레이티드
Publication of KR20030009436A publication Critical patent/KR20030009436A/ko
Application granted granted Critical
Publication of KR100727698B1 publication Critical patent/KR100727698B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form

Abstract

반도체 기판 상에 형성된 옥시니트라이드 또는 산화물 층이 상기 층의 표면 조건을 개선하고 연속된 실리콘 질화물 증착을 위한 핵 형성 지점들의 밀도를 증가시키기 위해 UV-여기된 기체(염소 또는 질소 등)로 예비 처리된다. 상기 예비 처리는 화학적 기상 증착(CVD)에 의해 상기 옥시니트라이드 층 상에 증착된 더 얇은 실리콘 질화물(36Å 이하의, 또는 20Å 이하의 물리적 두께를 가짐) 막의 RMS 표면 거칠기를 감소시키기 위해 개시된다.

Description

실리콘 질화물 막 형성을 위한 초박형 옥시니트라이드의 UV 예비 처리 방법 {UV PRETREATMENT PROCESS FOR ULTRA-THIN OXYNITRIDE FOR FORMATION OF SILICON NITRIDE FILMS}
본 발명은 일반적으로 ULSI 응용을 위한 초박형 유전체 막의 표면 거칠기(roughness)를 최소화하기 위한 방법에 관한 발명이다. 상기 방법은 화학 기상 증착(CVD) 기술으로 실리콘 반도체 기판 상에 실리콘 질화물 막을 형성하기 위해 사용될 때 특별한 장점을 갖는다.
금속 산화막 반도체 전계 효과 트랜지스터(MOSFET)의 채널 길이가 감소될수록, 게이트 유전체 두께는 수용 가능한 짧은 채널 효과를 유지하고 드레인 전류를 최대화하기 위해 감소되어야만 한다. 박형 실리콘 이산화물(SiO2)의 스케일링(scaling)에 대한 주요한 제한은 직접 터널링에 기인한 대규모의 누설 전류이다. 게이트 산화물 층은 대개는 실질적으로 순수한 산소 환경에서의 실리콘 반도체 기판의 열 산화에 의해 형성된다. 그러나, 초대규모 집적회로(ULSI)에서, MOSFET 내의 상기 게이트 산화물 층은 비교적 높은 결함 밀도 및 전하 트래핑(trapping), 그리고 비교적 낮은 신뢰도 및 고온 캐리어 대한 낮은 저항 효과와 같은 바람직하지 않은 특성들을 나타낼 수 있다.
다양한 인-시튜(in-situ) 다중층 게이트 스택 공정들은 게이트 유전체 특성들을 개선시키기 위해 사용되어 왔다. 하나의 예는 상기 실리콘 반도체 기판의 인-시튜 건식 세정과 그에 이은 일련의 급속 열 처리(RTP)를 포함한다. 상기 인-시튜 건식 세정 공정은 대개는 3 단계들을 포함한다; (1) UV-오존(100 Torr의 압력)을 가하는 단계; (2) HF-메탄올 증기(100 Torr의 압력)를 가하는 단계; (3) UV-염소(10 Torr의 압력)를 가하는 단계. 상기 UV-오존 및 UV-Cl2 건식 세정은 유기 잔류물과 금속 오염물들을 바람직한 게이트 유전체 층들의 후속 증착물을 위해 상기 표면을 준비하도록 상기 기판 표면으로부터 각각 제거한다. 상기 HF-메탄올 증기는 상기 UV-오존 처리 동안 형성된 어떠한 표면 산화물도 제거한다. 일단 상기 기판 표면이 세정되고 나면, 상기 기판은 다음을 포함할 수 있는 일련의 RTP 공정들에 의해 처리된다: (1) 옥시니트라이드(oxynitride) 층을 산화질소(NO)로 성장시키는 단계; (2) 급속 열 화학 기상 증착(RTCVD) 처리로 실리콘 질화물(SiNx) 층을 증착시키는 단계; (3) 암모니아(NH3) 환경에서 상기 SiN 층을 갖는 상기 기판을 급속 열 어닐링(annealing)하는 단계; 그리고 (4) N2O 환경에서 상기 기판을 급속 열 어닐링하는 단계.
실리콘 이산화물 층 상에 증착된 CVD 실리콘 질화물 막의 표면 거칠기는 상기 질화물 층의 물리적 두께가 약 25Å 이하일 때 바람직하지 않게 높은 값(즉, 약 10Å의 그리고 심지어 20Å에 달하는 RMS(root mean square) 거칠기)으로 나타났다. 공표된 연구 논문들은 상기 실리콘 질화물 막의 물리적 두께가 약 20Å를 초과할 때까지 질화물 핵 형성 섬들의 합체가 일어나지 않는다고 지적한 바 있다. H. Resinger A. Spitzer의 "Electrical Breakdown Induced by Silicon Nitride Roughness in Thin Oxide-Nitride-Oxide Films" J. Appl. Phys., V.79, p.3028(1996); M. Copel 외의 "Nucleation of Chemical Vapor Deposited Silicon Nitride on Silicon Dioxide" Appl. Phys. Lett., V.74, p.1830(1999); 그리고 Y. Hu 외의 "An In-Situ Real Time Measurement of the Incubation Time for Si Nucleation on SiO2 in a Rapid Thermal Process" Appl. Phys. Lett., V.66, p700(1995)를 보라. 그러므로, 산화물 층들 상의 실리콘 질화물 막의 성장은 충분한 핵 형성 지점들(sites)을 갖는가에 의존하는 것으로 여겨지기 때문에, 더 얇은 질화물 막이 수용할 수 없는 게이트 유전체 특성들을 야기하는 수용할 수 없는 표면 거칠기를 갖게 된다.
이런 문제를 해결하기 위해 제안된 하나의 해결책은 상기 옥시니트라이드 표면을 예비 처리하고 핵 형성 지점들을 증가시키기 위해 저에너지 이온 빔(N+, H+ 또는 He+)을 사용한다. Y. Hu 외의 "In-situ Surface Pretreatment Effect on Nucleation and Film Structure of Polysilicon in a RTCVD System" 4th Int'l Conf. Advanced Thermal Processing of Semiconductors, RTP '96, p.128(1996). 보다 최근에는, 몇몇의 연구들이 원격 플라즈마 산화 작용이 초박형 산화물 인터페이스를 개선할 수 있다는 것을 보여준 바 있다. Lucovsky 외의 Appl. Phys. Lett. V.74, p.2005(1999)를 보라. 불운하게도, 원격 플라즈마 산화 작용은 특별한 처리 장비를 요하고 이용하기에 복잡하다. 더 많은 핵 형성 지점들을 생성하고 박형 실리콘 질화물 막의 표면 거칠기를 감소시키기 위한 선택적 접근법은 아직 연구 대상이다.
본 발명은 CVD 질화물 증착을 위해 더 많은 핵 형성 지점들을 생성하도록 반도체 기판 표면 상에서 형성되는 산화물 또는 옥시니트라이드 막을 예비 처리하기 위해 UV-여기된 기체(바람직하게는 염소(Cl2), 질소(N2) 및 염소와 질소의 혼합물)를 사용한다. 본 발명의 방법에서, 옥시니트라이드 층이 반도체 기판(바람직하게는 실리콘 반도체 기판) 상에 형성된 후에, 상기 옥시니트라이드 층은 UV-여기된 기체로 처리된다. 그러므로, 상기 예비 처리 후에, 실리콘 질화물 막은 상기 처리된 옥시니트라이드 층 위에 증착된다. 바람직하게는, 상기 옥시니트라이드 층은 적어도 약 800℃의 온도에서 급속 열 처리를 사용하여 형성된다. 바람직하게는, 상기 실리콘 질화물 막은 약 700℃ 내지 약 850℃의 범위의 온도에서, 가장 바람직하게는 700℃ 내지 800℃의 온도에서, 그리고 약 1.5 Torr 내지 3 Torr의 범위의 압력에서, 그리고 SiH4:NH3:Ar의 1:40:50의 기체 흐름 비율로 화학적 기상 증착(CVD) 처리를 사용하여 상기 옥시니트라이드 층 상에 증착된다.
본 발명에서 상기 실리콘 질화물 막의 표면 거칠기가 상기 예비 처리에 따라 실질적으로 감소된다는 것을 발견하였다. 하나의 예에서, 22.6Å 두께(물리적 두께)의 실리콘 질화물 층이 처리되지 않은 산화물 상에 증착될 때, 상기 RMS 표면 거칠기는 9.2Å이었다. 반대로, 22.5Å 두께(물리적 두께)의 실리콘 질화물 층이 UV-여기된 염소 기체로 예비 처리된 산화물 층 상에 증착될 때, 상기 표면 거칠기는 RMS 2.1Å로 감소되었다. 그러므로 상기 공정은 증착된 층의 질을 개선하였다.
상기 UV-여기된 기체 예비 처리 단계는 옥시니트라이드 층을 성장시키기 위해 상기 산화질소 처리를 수용하도록 상기 반도체 기판을 준비하는데 사용될 수 있다. 본 발명에 따른 상기 예비 처리 단계는 핵 형성 지점 밀도를 강화하고 실리콘 질화물 처리를 위한 상기 옥시니트라이드 표면 층을 준비하기 위해 상기 실리콘 질화물 증착 단계에 앞서 수행된다.
도 1은 실리콘 질화물 막이 예비 처리 단계 없이 옥시니트라이드 층 위에 직접적으로 도포되는 선행 기술 방법의 개략도이고;
도 2는 옥시니트라이드 층이 더 많은 핵 형성 지점들을 생성하고 표면 거칠기를 감소시키기 위해 실리콘 질화물 막을 도포하기 전에 UV-여기된 기체로 처리되는 본 발명의 방법의 개략도이며;
도 3A 및 도 3B는 옥시니트라이드 층의 UV-여기된 염소 기체 예비 처리로(도 3B) CVD 증착된 실리콘 질화물 막 및 상기 처리 없이(도 3A) CVD 증착된 실리콘 질화물 막의 표면 형태를 보여주는 개략도이고;
도 4는 UV 예비 처리를 포함하는 방법과 포함하지 않는 방법에 대한 막 거칠기의 비교 그래프이다.
도 1은 반도체 기판 상의 산화물 층 또는 옥시니트라이드 층 위로 실리콘 질화물 층을 형성하기 위한 공지된 선행 기술 공정을 개략적으로 도시한다. 상기 실리콘 반도체 기판(12)은 일반적으로 상기 실리콘 표면을 산화시키기 위해 일산화질소(NO) 환경에 상기 반도체 기판(12)을 노출시킴으로써 그 위에 증착된 옥시니트라이드 층(14)을 갖는다. 상기 옥시니트라이드 두께는 최종 게이트 스택의 타원 편광 측정(ellipsometric) 및 전기적인 데이터의 분석에 기초하여 일반적으로 약 6Å으로 측정된다. 그 다음에, 실리콘 질화물 막(16)은 급속 열 화학 기상 증착(CVD) 처리를 사용하여 상기 옥시니트라이드 층 위로 증착된다. 옥시니트라이드 층(14)을 갖는 상기 반도체 기판(12)은 약 1.5 Torr의 압력의 폐쇄된 챔버 내에서 실란(SiH4), 암모니아(NH3) 및 아르곤(Ar)의 혼합물에 노출된다. 상기 압력과 상기 기체 환경에서 유지되는 동안, 상기 기판은 약 800℃의 온도까지 가열된다. 상기 CVD 처리는 펄스(1초)당 몇 분까지 지속될 수 있다. 전형적인 처리 시간은 5초 내지 60초이다. 상기 옥시니트라이드 층 위에 형성된 상기 실리콘 질화물 막(16)은 약 36Å 내지 약 20Å의 물리적 두께를 갖는다. 이러한 게이트 스택은 약 24Å 내지 약 16Å의 등가 실리콘 산화물(SiO2) 두께(EOT)를 가져야만 한다. 게이트 유전체 기술에서의 진보의 관점에서, 물리적 두께가 20Å인 초박형 실리콘 질화물 막은 차세대 ULSI 소자에서 바람직하다.
도 2는 본 발명에 따른 공정을 개략적으로 도시한다. UV-여기된 기체 예비 처리 단계는 상기 실리콘 질화물 막이 상기 옥시니트라이드 층 상에 증착되기 전에 일어난다. 동일한 참조 번호들은 도 1 및 도 2에서의 같은 구성 요소들을 참조한다. 도 2에서 도시된 바와 같이, 상기 UV-여기된 기체(20)는 상기 반도체 기판(12) 상의 상기 옥시니트라이드 층(14)의 표면에 가해진다. 이러한 예비 처리 단계를 사이에 넣음으로써, 상기 옥시니트라이드 층의 표면 조건은 상기 실리콘 질화물 막이 증착되기 전에 개선된다. 이런 방법으로, 상기 실리콘 질화물 막(16')의 표면 거칠기는 감소되어, 개선된 후속 공정의 결과를 가져온다. 이러한 장점을 설명하기 위해 도 2에서 도시된 상기 실리콘 질화물 막(16')의 상부 표면 상의 봉우리와 골짜기는 도 1에서 도시된 상기 실리콘 질화물 막(16) 표면 상의 봉우리와 골짜기보다 덜 뚜렷하다.
본 발명에 따라, 상기 옥시니트라이드 층 표면은 상기 옥시니트라이드 층 위에 상기 실리콘 질화물 막을 증착시키기 전에 UV-여기된 기체로 변형되거나 조건 설정된다. 바람직한 실시예에서 상기 예비 처리는 UV-여기된 Cl2 또는 N2, 또는 이러한 기체들의 혼합물을 사용하여 수행된다. UV-여기된 염소 기체가 특히 바람직하다. 상기 UV-여기된 기체를 획득하기 위해, UV 방사가 넓은 파장(200-1100 nm) 출력을 갖는 외부 제논(Xe) 램프로부터 방출된다. 바람직하게는, 제논 램프로부터의 광자 에너지는 6.2 - 1.1 eV이고, 이는 Si-Si = 3.1 eV; Si-H = 3.0 eV; Si-Cl = 3.9 eV; Si-N = 4.0 eV; Si-O = 4.6 eV와 같은 반도체 기판들에 대한 대부분의 결합 에너지들보다 더 높다. 이런 결합들은 UV 방사 하에 해리될 수 있지만, 그것들은 10 eV를 훨씬 초과하는 그 이온화 포텐셜 때문에 이온화되지 않을 것이다.
바람직한 공정은 다음 단계들을 포함한다:
(1) 선택적으로 상기 반도체 기판, 바람직하게는 실리콘 반도체 기판의 표면을 유기 잔류물을 제거하기 위한 UV-여기된 오존, 이어서 임의의 성장된 산화물을 제거하기 위한 HF-메탄올 증기 처리, 그리고 그 다음에 금속 오염물들을 제거하기 위한 UV-여기된 염소로 건식 세정하는 단계;
(2) 옥시니트라이드 층을 열적으로 성장시키기 위해, 상기 반도체 기판 표면을 약 0.5 내지 500 Torr, 가장 바람직하게는 0.5 내지 100 Torr의 압력 및 800℃ 내지 약 1000℃의 온도에서 산화질소 환경에 노출시키는 단계;
(3) UV-여기된 염소 또는 질소 또는 혼합물과 같은 UV-여기된 기체로, 약 80℃ 내지 160℃의 범위의 온도와 약 5 Torr 내지 약 20 Torr의 압력에서 30초 내지 2분 동안 상기 UV-여기된 기체에 상기 옥시니트라이드 층을 노출시킴으로써 상기 옥시니트라이드 층을 예비 처리하는 단계; 그리고
(4) 옥시니트라이드 층으로 코팅된 상기 반도체 기판이 총 1:20-50:40-60의, 바람직하게는 1:40:50의 비율의 SiH4:NH3:Ar 환경 내에서, 700℃-850℃의 범위의 온도에서, 그리고 약 1.5 Torr 내지 3 Torr의 범위의 압력에서, 5초 내지 35초 동안, 바람직하게는 20초 동안 유지되는 화학 기상 증착 방법을 사용하여 예비 처리된 옥시니트라이드 층 위로 실리콘 질화물 막을 증착시키는 단계.
실리콘 질화물 증착의 이러한 공정으로, RMS에 의해 측정된 바와 같은 표면 거칠기는, 상기 예비 처리 단계가 상기 옥시니트라이드 층 위에 상기 실리콘 질화물 막을 증착시키기 전에 포함될 때 실질적으로 감소된다. 본 발명의 부가적인 장점으로서, 상기 실리콘 질화물 층의 두께가 증가할수록, 상기 표면 거칠기도 증가할 수 있지만, 상기 개재(intervening) 예비 처리 단계 없이 증착된 층들의 경우보다 더 작은 속도로 증가한다는 것을 발견하였다. 그러므로, 더 두꺼운(30Å의 물리적 두께보다 더 두꺼운) 실리콘 질화물 막이 의도될 때조차 표면 상태 개선이 기대된다. 따라서, 본 발명은 초박형 질화물 막을 형성할 때의 사용에 제한되지 않는다.
도 3A 및 도 3B는 실리콘 질화물 막이 본 발명에 따라 UV-여기된 염소 기체 예비 처리로 옥시니트라이드 층 위에 증착될 때 획득된 실제의 표면 형태와, 상기 예비 처리 없이 옥시니트라이드 층 위에 증착될 때 획득된 실제의 표면 형태를 비교한다. 이 도면들은 본 발명에 따른 공정으로(도 3B) 그 위에 증착된 실리콘 질화물 막 및 상기 공정 없이(도 3A) 그 위에 증착된 실리콘 질화물 막을 갖는 실제의 반도체 기판들의 대표적인 AFM(Atomic Force microscopy)도로부터 묘사되었다. 도 3B의 샘플이 상기 옥시니트라이드 층 상의 실리콘 질화물 막을 성장시키기 위한 화학 기상 증착 처리 전에 60초 동안 상기 옥시니트라이드 층의 UV-여기된 염소 기체 예비 처리에 의해 처리되었다는 점을 제외하면, 모든 공정 단계들은 동일 조건 하에서 수행되었다. 도 3A에서, 상기 반도체 샘플은 23.5Å의 물리적 두께를 갖는 증착된 질화물 막을 갖고 상기 표면 거칠기는 RMS 20Å이었다. 도 3A에서 도시된 바와 같이, 많은 상승된 봉우리들 또는 불연속적들이 상기 질화물 막 표면 상에 나타나는데, 이는 거친 표면을 나타낸다. 반대로, 도 3B에서는, 상기 증착된 실리콘 질화물 막이 28.7Å의 물리적 두께를 갖고 표면 거칠기는 RMS 10Å이었다. UV-여기된 염소 기체를 포함하는 예비 처리는 더 적은 상승된 봉우리들 또는 불연속점들을 갖는 보다 균일한 질화물 층을 가능케 하였고, 더 매끄러운 막 표면을 가능케 하였다.
공지된 방법들과 본 발명에 따른 상기 방법을 비교하기 위해 실험이 실시되었다. 이들 실험 결과를 표 1에 나타낸다.
Figure 112002033772211-pct00001
예에서 도시된 바와 같이, 핵 형성 섬들의 합체는 더 얇은 질화물 두께에서 일어난다. 그 RMS 거칠기는 저압(0.5 Torr) NO 질산화 작용 및 UV-Cl2 예비 처리 후에 증착된 실리콘 질화물(두께 = 22.5Å)의 경우 2.10Å인 반면에, 단지 표준(100 Torr) NO 질산화 작용 후에 증착된 질화물(22.6Å의 막 두께)의 경우에는 9.2Å이다. 예 UV-1 및 예 S-1을 비교해 보라.
도 4는 거칠기 대 CVD로 증착된 SiNx 막의 총 막 두께를 도시하는, 테이블 1에서 보고된 결과들의 그래프를 도시한다. 상기 옥시니트라이드 층의 상기 UV-처리는 상기 CVD 증착된 질화물 거칠기를 상당히 감소시킨다.
본 발명의 전술한 기술은 바람직한 실시예들을 예시하고 설명한다. 그럼에도 불구하고, 본 발명은 다양한 다른 조합들, 변형들, 및 환경들에서 사용될 수 있고, 여기서 표현된 독창적인 발상의 범위 내에서 변화되거나 변형될 수 있다는 점이 이해되어야 할 것이다. 상기 설명은 여기에 개시된 형태로 본 발명을 제한하는 것을 의도하지 않는다. 당업자에게 자명한 대체적인 실시예들이 부가된 청구항들의 범위 내에서 포함될 수 있다.

Claims (21)

  1. 반도체 기판의 표면 상에 실리콘 질화물 막을 형성하는 방법으로서,
    염소 및 질소와 이들의 혼합물들로 구성된는 그룹으로부터 선택된 UV-여기된 기체로 상기 반도체 기판 상에 형성된 옥시니트라이드(oxynitride)의 박층을 처리하는 단계; 및
    상기 처리된 옥시니트라이드 층 상에 실리콘 질화물 막을 증착시키는 단계
    를 포함하는 실리콘 질화물 막의 형성 방법.
  2. 제 1 항에 있어서,
    상기 옥시니트라이드의 박층은 6Å 내지 10Å 범위의 물리적 두께를 갖는 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  3. 제 1 항에 있어서,
    상기 UV-여기된 기체는 5 Torr 내지 20 Torr의 압력과 80℃ 내지 160℃ 범위의 온도에서 유입되는 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  4. 제 1 항에 있어서,
    상기 UV-여기된 기체는 30초 내지 2분 동안 상기 옥시니트라이드 층을 처리하도록 제공되는 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  5. 제 1 항에 있어서,
    상기 실리콘 질화물 막은 20Å 내지 30Å 범위의 물리적 두께를 갖는 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  6. 제 1 항에 있어서,
    상기 실리콘 질화물 막은 20Å 내지 36Å 범위의 물리적 두께를 갖는 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  7. 제 2 항에 있어서,
    상기 옥시니트라이드 층은 800℃ 내지 1000℃ 범위의 온도에서 급속 열 처리를 이용하여 실리콘 반도체 기판 상에 형성되는 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  8. 제 5 항에 있어서,
    상기 실리콘 질화물 막은 700℃ 내지 850℃ 범위의 온도에서 화학적 기상 증착을 이용하여 증착되는 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  9. 제 8 항에 있어서,
    상기 화학적 기상 증착은 1:40:50의 SiH4:NH3:Ar의 기체 흐름 비율로 1.5 Torr 내지 3.0 Torr의 압력에서 수행되는 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  10. 제 1 항에 있어서,
    상기 UV-여기된 기체는 염소인 것을 특징으로 하는 실리콘 질화물 막의 형성 방법.
  11. 반도체 기판 상에 형성된 실리콘 질화물 막의 RMS(root-mean-square) 표면 거칠기를 감소시키기 위한 방법으로서,
    처리된 옥시니트라이드 층 상부에 실리콘 질화물 막을 증착하기 이전에, 염소, 질소 및 이들의 혼합물로 구성된 그룹으로부터 선택된 UV-여기된 기체로 상기 반도체 기판 상에 형성된 옥시니트라이드 층을 처리하는 단계
    를 포함하는 RMS 표면 거칠기의 감소 방법.
  12. 제 11 항에 있어서,
    상기 옥시니트라이드 층은 6Å 내지 10Å 범위의 물리적 두께를 갖는 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  13. 제 11 항에 있어서,
    상기 UV-여기된 기체는 5 Torr 내지 20 Torr의 압력과 80℃ 내지 160℃ 범위의 온도에서 유입되는 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  14. 제 11 항에 있어서,
    상기 UV-여기된 기체는 30초 내지 2분 동안 상기 옥시니트라이드 층을 처리하도록 제공되는 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  15. 제 11 항에 있어서,
    상기 실리콘 질화물 막은 20Å 내지 30Å 범위의 물리적 두께를 갖는 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  16. 제 11 항에 있어서,
    상기 실리콘 질화물 막은 20Å 내지 36Å 범위의 물리적 두께를 갖는 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  17. 제 11 항에 있어서,
    상기 실리콘 질화물 막의 RMS 표면 거칠기는 5Å 미만인 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  18. 제 11 항에 있어서,
    상기 옥시니트라이드 층은 800℃ 내지 1000℃ 범위의 온도에서 급속 열 처리를 이용하여 실리콘 반도체 기판 상에 형성되는 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  19. 제 11 항에 있어서,
    상기 실리콘 질화물 막은 700℃ 내지 850℃ 범위의 온도에서 화학적 기상 증착을 이용하여 증착되는 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  20. 제 19 항에 있어서,
    상기 화학적 기상 증착은 1:40:50의 SiH4:NH3:Ar의 기체 흐름 비율로 1.5 Torr 내지 3.0 Torr의 압력에서 수행되는 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
  21. 제 11 항에 있어서,
    상기 UV-여기된 기체는 염소인 것을 특징으로 하는 RMS 표면 거칠기의 감소 방법.
KR1020027013822A 2000-04-17 2002-10-15 실리콘 질화물 막 형성을 위한 초박형 옥시니트라이드의 uv 예비 처리 방법 KR100727698B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US19757500P 2000-04-17 2000-04-17
US60/197,575 2000-04-17
PCT/US2001/012286 WO2001080298A1 (en) 2000-04-17 2001-04-17 Uv pretreatment process for ultra-thin oxynitride for formation of silicon nitride films

Publications (2)

Publication Number Publication Date
KR20030009436A KR20030009436A (ko) 2003-01-29
KR100727698B1 true KR100727698B1 (ko) 2007-06-13

Family

ID=22729953

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027013822A KR100727698B1 (ko) 2000-04-17 2002-10-15 실리콘 질화물 막 형성을 위한 초박형 옥시니트라이드의 uv 예비 처리 방법

Country Status (7)

Country Link
US (1) US6451713B1 (ko)
EP (1) EP1275139B1 (ko)
JP (1) JP4813737B2 (ko)
KR (1) KR100727698B1 (ko)
CN (1) CN1331199C (ko)
AT (1) ATE518239T1 (ko)
WO (1) WO2001080298A1 (ko)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100342500C (zh) * 2000-09-19 2007-10-10 马特森技术公司 形成介电薄膜的方法
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6706643B2 (en) * 2002-01-08 2004-03-16 Mattson Technology, Inc. UV-enhanced oxy-nitridation of semiconductor substrates
JP2005523384A (ja) * 2002-04-19 2005-08-04 マットソン テクノロジイ インコーポレイテッド 低蒸気圧のガス前駆体を用いて基板上にフィルムを蒸着させるシステム
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US6642117B1 (en) * 2002-08-05 2003-11-04 Taiwan Semiconductor Manufacturing Co. Ltd Method for forming composite dielectric layer
KR20040096377A (ko) * 2003-05-09 2004-11-16 삼성전자주식회사 산화막 및 산질화막 형성 방법
DE10345475B4 (de) * 2003-09-30 2008-04-17 Infineon Technologies Ag Nichtflüchtiger integrierter Halbleiterspeicher
DE102004015307A1 (de) * 2004-03-29 2005-10-20 Infineon Technologies Ag Verfahren zur Präparation der Oberfläche eines Halbleiterkörpers
CN100539026C (zh) * 2004-06-28 2009-09-09 东京毅力科创株式会社 成膜装置
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7501352B2 (en) * 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
US7517814B2 (en) * 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
JP4833878B2 (ja) * 2007-01-31 2011-12-07 東京エレクトロン株式会社 基板の処理方法及び基板処理装置
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5731475B2 (ja) 2009-03-27 2015-06-10 スリーエム イノベイティブ プロパティズ カンパニー 親水性ポリプロピレン融体添加剤
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
WO2010124261A2 (en) 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
KR20120023040A (ko) * 2009-04-29 2012-03-12 어플라이드 머티어리얼스, 인코포레이티드 HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
JP5665627B2 (ja) * 2011-03-30 2015-02-04 東京エレクトロン株式会社 シリコン酸化物膜及びシリコン窒化物膜の積層方法、並びに成膜装置及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113013020B (zh) * 2021-02-23 2023-06-27 中国人民大学 一种基于厚度刻蚀的大面积超薄二维氮化物的生长方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0227839A1 (en) * 1985-07-02 1987-07-08 Semiconductor Energy Laboratory Co., Ltd. Method of forming a thin film
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US5578848A (en) * 1994-05-04 1996-11-26 Regents Of The University Of Texas System Ultra thin dielectric for electronic devices and method of making same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5642377A (en) * 1979-09-14 1981-04-20 Fujitsu Ltd Ultraviolet ray erasable type rewritable read-only memory
US4987008A (en) * 1985-07-02 1991-01-22 Semiconductor Energy Laboratory Co., Ltd. Thin film formation method
JPH04144278A (ja) * 1990-10-05 1992-05-18 Mitsubishi Electric Corp 半導体記憶装置およびその製造方法
US5534107A (en) * 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
JP3416320B2 (ja) * 1995-03-13 2003-06-16 富士通株式会社 半導体装置の製造方法
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
JPH11297689A (ja) * 1998-04-08 1999-10-29 Sony Corp シリコン絶縁膜の熱処理方法並びに半導体装置の製造方法
US6162687A (en) * 1998-08-19 2000-12-19 Advanced Micro Devices, Inc. Method of manufacturing semiconductor device having oxide-nitride gate insulating layer
US6121130A (en) * 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6326231B1 (en) * 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6153504A (en) * 1999-08-16 2000-11-28 Advanced Micro Devices, Inc. Method of using a silicon oxynitride ARC for final metal layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0227839A1 (en) * 1985-07-02 1987-07-08 Semiconductor Energy Laboratory Co., Ltd. Method of forming a thin film
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US5578848A (en) * 1994-05-04 1996-11-26 Regents Of The University Of Texas System Ultra thin dielectric for electronic devices and method of making same

Also Published As

Publication number Publication date
EP1275139B1 (en) 2011-07-27
ATE518239T1 (de) 2011-08-15
CN1331199C (zh) 2007-08-08
JP2003531490A (ja) 2003-10-21
CN1423834A (zh) 2003-06-11
US6451713B1 (en) 2002-09-17
EP1275139A1 (en) 2003-01-15
JP4813737B2 (ja) 2011-11-09
KR20030009436A (ko) 2003-01-29
WO2001080298A1 (en) 2001-10-25

Similar Documents

Publication Publication Date Title
KR100727698B1 (ko) 실리콘 질화물 막 형성을 위한 초박형 옥시니트라이드의 uv 예비 처리 방법
US6638876B2 (en) Method of forming dielectric films
US6025280A (en) Use of SiD4 for deposition of ultra thin and controllable oxides
Lu et al. Fourier transform infrared study of rapid thermal annealing of a‐Si: N: H (D) films prepared by remote plasma‐enhanced chemical vapor deposition
Park et al. Bulk and interface properties of low-temperature silicon nitride films deposited by remote plasma enhanced chemical vapor deposition
US20030109114A1 (en) Method for forming insulative film, a semiconductor device and method for manufacturing the same
Kim et al. Substrate temperature dependence of subcutaneous oxidation at Si/SiO2 interfaces formed by remote plasma‐enhanced chemical vapor deposition
Hsieh et al. Characteristics of low‐temperature and low‐energy plasma‐enhanced chemical vapor deposited SiO2
Han et al. Reduction in interface trap density of Al2O3/SiGe gate stack by electron cyclotron resonance plasma post-nitridation
US6706643B2 (en) UV-enhanced oxy-nitridation of semiconductor substrates
US20040094809A1 (en) Process for semiconductor device fabrication in which an insulating layer is formed over a semiconductor substrate
Iwamoto et al. Advanced layer-by-layer deposition and annealing process for high-quality high-k dielectrics formation
Lai et al. Investigation of the interlayer characteristics of Ta 2 O 5 thin films deposited on bare, N 2 O, and NH 3 plasma nitridated Si substrates
Lucovsky Preparation of device‐quality SiO2 thin films by remote plasma‐enhanced chemical vapour deposition (PECVD): Applications in metal‐oxide‐semiconductor (MOS) devices
Chen et al. Effects of O2-and N2O-plasma treatments on properties of plasma-enhanced-chemical-vapor-deposition tetraethylorthosilicate oxide
Manabe et al. Suppression of Charges in Al 2 O 3 Gate Dielectric and Improvement of MOSFET Performance by Plasma Nitridation
Fujisaki et al. Long retention performance of a MFIS device achieved by introducing high-k Al2O3/Si3N4/Si buffer layer
KR100312017B1 (ko) Nd₃을 이용한 반도체 소자용 극박막 절연막의 형성공정
Kelly et al. Effect of Surface Nitridation on the Electrical Characteristics of Germanium High-κ/Metal Gate Metal-Oxide-Semiconductor Devices
CN107785270B (zh) 一种mosfet器件氮化方法
Okamoto et al. Irradiation damage in SiO2/Si system induced by photons and/or ions in photo-oxidation and plasma-oxidation
KR100431306B1 (ko) 알루미늄산화막과 이트륨질산화막의 이중막으로 이루어진게이트산화막을 이용한 반도체 소자의 게이트 형성방법
Zhao et al. Low-temperature growth of thin silicon nitride film by electron cyclotron resonance plasma irradiation
del Prado et al. Annealing effects on the interface and insulator properties of plasma-deposited Al/SiOxNyHz/Si devices
Chou et al. Interfacial Structures of Si 3 N 4 on Si (100) & Si (111)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120523

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee