KR100512824B1 - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR100512824B1
KR100512824B1 KR10-2005-0003200A KR20050003200A KR100512824B1 KR 100512824 B1 KR100512824 B1 KR 100512824B1 KR 20050003200 A KR20050003200 A KR 20050003200A KR 100512824 B1 KR100512824 B1 KR 100512824B1
Authority
KR
South Korea
Prior art keywords
metal element
film
organic compound
silicon
compound containing
Prior art date
Application number
KR10-2005-0003200A
Other languages
English (en)
Other versions
KR20050011003A (ko
Inventor
가즈히로 에구찌
세이지 이누미야
쯔나시마요시따까
Original Assignee
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 도시바 filed Critical 가부시끼가이샤 도시바
Publication of KR20050011003A publication Critical patent/KR20050011003A/ko
Application granted granted Critical
Publication of KR100512824B1 publication Critical patent/KR100512824B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

메탈 원소를 함유하는 실리콘 산화막을 갖는 반도체 장치의 제조 방법에 있어서, 그 특성이나 신뢰성의 향상을 도모한다. 실리콘을 함유하는 유기 화합물과, Zr, Hf, Al 및 La의 그룹 중에서 선택된 메탈 원소를 함유하는 유기 화합물을, 기판이 보유된 용기에 공급하는 공정과, 산소의 활성종을 이용하지 않은 열 CVD에 의해, 상기 기판 위에 상기 메탈 원소를 함유하는 실리콘 산화막을 형성하는 공정을 포함하는 것을 특징으로 한다.

Description

반도체 장치의 제조 방법{SEMICONDUCTOR DEVICE MANUFACTURING METHOD}
본 발명은 반도체 장치 및 반도체 장치의 제조 방법, 특히 반도체 장치에 이용하는 절연막에 관한 것이다.
MOSFET의 미세화에 수반하여, 게이트 절연막의 박막화가 요구되고 있다. 종래 이용되고 있는 실리콘 산화막이나 실리콘산 질화막에서는, 다이렉트 터널 전류의 증가에 의해, 약 2㎚에서 박막화의 한계에 도달하게 된다.
그래서, 메탈을 함유하는 실리콘 산화막(메탈 실리케이트막 또는 실리케이트막이라고 하는 경우도 있음)의 게이트 절연막에의 적용이 제안되고 있다. 이 메탈 실리케이트막은 유전율이 실리콘 산화막보다 높고, 또한 결정화 온도가 비교적 높기 때문에, 폴리 Si(폴리 SiGe) 게이트 전극 프로세스와의 정합성이 높다.
게이트 전극으로부터의 붕소 확산을 억제하기 위해서, 질소를 포함한 옥시 질화 메탈 실리콘막도 제안되고 있다(일본 특개2000-49349). 그러나, 양호한 계면 특성을 갖는 옥시 질화 메탈 실리콘막은 얻어지고 있지 않다. 또한, 메탈 질화물이 도전성이므로, 누설 전류가 많아, 전하 트랩 밀도도 높다. 또한, 게이트 전극 계면에서 메탈 실리사이드가 형성되어, 절연 특성을 손상시키는 경우도 있다.
메탈 실리케이트막의 형성 방법으로서는, 유기 실란을 이용한 CVD법(화학 기상 퇴적법)을 예로 들 수 있다. 유기 실란 중에서도, 테트라 에톡시 실란(Si(OC2H5)4: TEOS)이 자주 이용되고 있다. TEOS는 분해 온도가 높기 때문에, 열 CVD법을 이용한 경우에는 700℃ 이상의 온도가 필요하다. 그래서, 보다 저온에서 막 형성을 행하기 위해서, TEOS와 함께 오존(O3)을 이용하는 방법이나, 플라즈마 CVD법이 이용된다.
그러나, 오존이나 플라즈마를 이용한 경우, 성막 분위기에 산소 래디컬이나 산소 이온 등의 산소의 활성종이 생성된다. 활성인 산소는 반응성이 높기 때문에, 기반을 산화시키는 문제가 있다. 또한, 플라즈마를 이용한 경우, 플라즈마 손상에 의해 기반에 손상을 준다는 문제도 생긴다.
메탈 실리케이트막의 형성 방법에 관련된 공지 기술로서는, 다음과 같은 것이 있다.
일본 특개평5-239650호 공보에는, 알콕시 실란을 소스로 한 CVD법에서, 티탄족 원소의 알콕시드 또는 알킬아민 화합물을 첨가하는 방법이 개시되어 있다. 그러나, 오존이나 플라즈마를 이용하고 있기 때문에, 기본적으로 산소의 활성종을 이용하는 방법이다.
일본 특개평6-160657호 공보도, 오존을 이용하는 방법으로, 산소의 활성종을 이용하는 방법이다.
일본 특개평11-111715호 공보에는, 알콕실기를 갖는 화합물의 열 분해에 의해 생기는 생성물을 소스 가스에 첨가하는 방법이 개시되어 있다. 그러나, 실리콘 소스와 메탈 소스를 혼합하는 것에 관한 기재는 없다.
일본 특개평5-226608호 공보에는, 메탈 실리케이트막에 함유되는 메탈로서, 티탄을 이용하는 것이 개시되어 있다. 그러나, 티탄을 함유하는 메탈 실리케이트막은 양호한 특성을 얻기 어려워, 반도체 장치에 적용하기가 곤란하다는 문제가 있다.
이상 설명한 바와 같이, 종래는 우수한 특성을 갖는 메탈 실리케이트막이 얻어지지 않는다는 등의 문제나, 기반에 악영향을 준다는 등의 문제가 있었다. 그 때문에, 특성이나 신뢰성에 우수한 반도체 장치를 얻는 것이 곤란하였다.
본 발명은 상기 종래의 과제를 해결하기 위해서 이루어진 것으로, 메탈 원소를 함유하는 실리콘 산화막을 갖는 반도체 장치에 있어서, 그 특성이나 신뢰성의 향상을 도모하는 것을 목적으로 하고 있다.
본 발명에 따른 반도체 장치는, 반도체 기판과, 상기 반도체 기판 위에 형성되고, 메탈 원소를 함유하는 실리콘 산화막을 포함하는 게이트 절연막과, 상기 게이트 절연막 위에 형성된 전극을 포함하는 반도체 장치로서, 상기 메탈 원소를 함유하는 실리콘 산화막은, 하면 근방의 제1 영역과, 상면 근방의 제2 영역과, 제1 영역과 제2 영역 사이의 제3 영역을 포함하고, 상기 실리콘 산화막에 함유된 메탈 원소의 두께 방향에서의 농도 분포는 상기 제3 영역에 최대점을 갖는 것을 특징으로 한다.
본 발명에 따른 반도체 장치의 제조 방법은, 하면 근방의 제1 영역과, 상면 근방의 제2 영역과, 제1 영역과 제2 영역 사이의 제3 영역을 포함하고, 메탈 원소의 두께 방향에서의 농도 분포가 제1 영역 또는 제3 영역에 최대점을 갖는, 메탈 원소를 함유하는 비정질 실리콘막을 반도체 기판 위에 형성하는 공정과, 상기 메탈 원소를 함유하는 비정질 실리콘막을 산화하여, 상기 메탈 원소를 함유하는 실리콘 산화막을 형성하는 공정을 포함하는 것을 특징으로 한다.
또한, 본 발명에 따른 반도체 장치의 제조 방법은, 실리콘을 함유하는 유기 화합물과, Zr, Hf, Al 및 La의 그룹 중에서 선택된 메탈 원소를 함유하는 유기 화합물을, 기판이 보유된 용기에 공급하는 공정과, 산소의 활성종을 이용하지 않는 열 CVD에 의해, 상기 기판 위에 상기 메탈 원소를 함유하는 실리콘 산화막을 형성하는 공정을 포함하는 것을 특징으로 한다.
또한, 본 발명에 따른 반도체 장치의 제조 방법은, 메탈 원소를 함유하는 실리콘 산화막을 CVD에 의해 반도체 기판 위에 형성하는 공정을 포함하는 반도체 장치의 제조 방법으로서, 반도체 기판이 보유된 용기에의 실리콘을 함유하는 유기 화합물의 공급을 개시하는 공정과, 상기 실리콘을 함유하는 유기 화합물의 공급을 개시한 후에, 상기 용기에의 메탈 원소를 함유하는 유기 화합물의 공급을 개시하는 공정과, 상기 용기에의 상기 메탈 원소를 함유하는 유기 화합물의 공급량을 증가시키는 공정을 포함하는 것을 특징으로 한다.
이하, 본 발명의 실시예를 도면을 참조하여 설명한다.
〈제1 실시예〉
이하, 도면을 참조하여, 본 발명의 제1 실시예를 설명한다.
도 1은 제1 실시예에 따른 MIS(MOS)형 전계 효과 트랜지스터에 있어서, 게이트 절연막으로서 이용하는 메탈 실리케이트막(실리콘을 함유하는 실리콘 산화막) 내의 메탈 원소의 막 두께 방향의 농도 분포를 모식적으로 도시한 것이다. 여기서는 메탈 원소로서 Zr(지르코늄)을 이용하고 있지만, Hf(하프늄), Al(알루미늄), La(랜턴) 등, 실리콘 산화막에 첨가함으로써 실리콘 산화막에 비하여 유전율이 증가하는 원소를 이용해도, Zr의 경우와 마찬가지의 효과를 얻을 수 있다.
도 1에 도시한 바와 같이, 메탈 실리케이트막의 중앙 부근에서 Zr의 농도가 최대로 되어 있다. 또, 농도 피크는 반드시 메탈 실리케이트막의 중앙일 필요는 없고, 메탈 실리케이트막의 하면 근방의 영역(메탈 실리케이트막과 실리콘 기판과의 계면 근방의 영역)과, 상면 근방의 영역(메탈 실리케이트막과 게이트 전극과의 계면 근방의 영역) 사이에 위치한 영역(내부 영역)이면 된다.
이러한 구성으로 함으로써, 특성이나 신뢰성에 우수한 MIS형 전계 효과 트랜지스터를 얻을 수 있다. 즉, 반도체 기판측의 계면에서는, 계면에서의 고정 전하 밀도가 낮아, 채널 이동도의 저하가 억제된다. 또한, 게이트 전극측의 계면에서는 게이트 전극으로서 폴리 Si나 폴리 SiGe를 이용한 경우, 계면에서의 실리사이드 반응을 억제할 수 있어, 신뢰성의 저하를 방지할 수 있다.
도 2는 상술한 바와 같은 구성에 대하여, 또한 게이트 전극측에 질소(N)를 도입한 경우의, Zr 및 N의 농도 분포를 모식적으로 도시한 것이다. 도 2에 도시한 바와 같이 게이트 전극측의 계면 근방에서 N 농도가 최대로 되어 있다.
이와 같이, 메탈 실리케이트막의 상면측에 급경사인 N 농도의 피크가 있기 때문에, 게이트 전극으로서 폴리 Si나 폴리 SiGe를 이용한 경우, 도우펀트로서 이용하는 붕소 등의 불순물이 게이트 절연막 내에 나아가서는 반도체 기판으로 확산하는 것을 유효하게 억제할 수 있다. 또한, Zr과 질소의 반응이 억제되므로, 누설 전류의 증가나 신뢰성의 저하를 억제할 수 있다. 또한, 상면측에 질소가 존재하기 때문에, 기판측 계면 근방의 고정 전하 밀도의 증가가 억제되어, 채널 이동도의 저하를 억제할 수 있다.
또, 메탈 실리케이트막 내에 함유되는 메탈 원소는 반드시 1종류일 필요는 없고, Zr, Hf, Al 및 La 중의 2종류 이상의 원소가 함유되어 있어도 된다.
다음으로, 본 실시예의 제조 방법에 대하여, 도 3의 (a) 내지 (e)를 참조하여 설명한다.
우선, 도 3의 (a)에 도시한 바와 같이 통상의 소자 분리 영역(도시 생략)을 형성한 실리콘 기판(11)을 준비한다.
다음으로, 도 3의 (b)에 도시한 바와 같이 실리콘 기판(11)의 표면 위에, Zr을 함유하는 비정질 실리콘막(12)을 약 2㎚ 퇴적한다. 이 비정질 실리콘막(12)은, 예를 들면 ZrCl4, SiH4 및 H2를 이용한 LPCVD법으로 형성된다. 전형적인 성막 조건은 500℃, 0.5Torr이다. ZrCl4와 SiH4의 유량비를 제어함으로써, Zr을 함유하는 비정질 실리콘막(12) 내의 Zr 농도의 피크를 막 두께 방향의 중앙 부근으로 할 수 있다.
비정질 실리콘막(12)은 Zr 타깃과 Si 타깃을 이용한 스퍼터링법을 이용하여 퇴적해도 된다. 이 경우에는 Zr 스퍼터링과 Si 스퍼터링의 파워비를 제어함으로써, Zr 농도의 피크를 막 두께 방향의 중앙 부근으로 할 수 있다.
또, Zr의 농도 피크는 반드시 비정질 실리콘막(12)의 중앙일 필요는 없고, 비정질 실리콘막(12)의 하면 근방의 영역과 상면 근방의 영역 사이에 위치한 영역(내부 영역)이면 된다. 또한, 비정질 실리콘막(12)의 하면 근방의 영역에 Zr의 농도 피크가 있어도 된다.
다음으로, 도 3의 (c)에 도시한 바와 같이, 기판 온도를 400℃로 하고, O2 플라즈마 산화법을 이용하여, Zr을 함유하는 비정질 실리콘막(12)을 산화하여, Zr 실리케이트막(Zr을 함유하는 실리콘 산화막)(13)을 형성한다. Zr 실리케이트막(13)은 비정질 실리콘막(12)의 Zr 농도 분포를 반영하여, 도 1에 도시한 바와 같은 Zr 농도 분포를 갖는다. 여기서, 비교적 저온에서 산화가 가능한 플라즈마 산화법을 이용함으로써, 산화 시의 결정화가 억제되어, 결정화에 수반되는 모폴로지(mophorogy) 거침을 방지할 수 있다.
또, 비정질 실리콘막(12)의 하면 근방의 영역에 Zr의 농도 피크가 있는 경우에도, 상기 산화 공정에서 실리콘 기판(11)의 표면 영역도 산화되기 때문에, 역시 Zr 실리케이트막(13)의 내부에 Zr의 농도 피크가 위치한다.
다음으로, 도 3의 (d)에 도시한 바와 같이, 웨이퍼 온도를 400℃로 하고, N2 플라즈마 질화법을 이용하여, Zr 실리케이트막(13)의 표면을 질화하여, 표면이 질화된 Zr 실리케이트막(14)을 형성한다. 이 표면이 질화된 Zr 실리케이트막(14)은 도 2에 도시한 바와 같은 질소 농도 분포로 된다.
다음으로, 도 3의 (e)에 도시한 바와 같이, 게이트 전극으로 되는 폴리 SiGe 막(폴리 Si막이어도 됨)(15)을 LPCVD법을 이용하여 약 150㎚ 퇴적한다. 여기서, 폴리 SiGe막(15)을 퇴적하기 전에, 예를 들면 900℃, 10초의 어닐링을 행하여, 도입된 질소의 안정화를 행해도 된다.
그 후에, 리소그래피 공정, 게이트 전극 에칭 공정, 이온 주입 공정, 활성화 어닐링 공정 등을 거쳐, MIS형 트랜지스터가 형성된다(도시 생략). 또한, 배선 공정을 거쳐, 반도체 장치가 완성된다(도시 생략).
이상과 같이 본 실시예에 따르면, 메탈 실리케이트막 내의 메탈 원소의 농도 분포를 최적화함으로써, 양호한 계면 특성이 얻어질 뿐만 아니라, 게이트 전극 계면의 반응이 억제된다. 또한, 질소의 농도 분포를 최적화함으로써, 메탈 실리케이트막 내의 트랩의 증가가 억제될 뿐만 아니라, 게이트 전극으로부터의 불순물의 확산을 억제할 수 있다. 따라서, 고성능이며 고신뢰성의 높은 반도체 장치를 실현할 수 있다.
또한, 메탈 원소로서 Zr, Hf, Al 또는 La를 이용함으로써, 메탈 실리케이트막의 실효적인 유전율을 증가시킬 수 있다. 그 때문에, 물리적 막 두께가 두꺼운 메탈 실리케이트막을 게이트 절연막으로서 이용할 수 있으며, 고성능이며 고신뢰성의 반도체 장치를 실현할 수 있다.
또한, 본 실시예에 따르면, 메탈과 실리콘의 2원계의 퇴적에 의해 비정질 실리콘막을 형성함으로써, 조성의 제어가 용이하게 되어, 저비용으로 고성능의 반도체 장치를 제조할 수 있다. 또한, 부분적인 금속 산화물 결정의 형성이 억제되고, 특성 변동이 적은 반도체 장치를 실현할 수 있다. 게다가, 메탈 원소와 독립적으로 질소를 도입함으로써, 최적의 막 조성을 용이하게 실현할 수 있다.
또한, 메탈 소스와 실리콘 소스를 이용한 CVD법으로 비정질 실리콘막을 형성함으로써, 예를 들면 국소적인 요철을 갖는 반도체 표면 위에도, 균일하게 성막을 행할 수 있게 되어, 고신뢰성의 반도체 장치를 실현할 수 있다. 또한, 메탈 소스에 메탈 원소의 할로겐 화물을 이용하고, 실리콘 소스에 실리콘의 수소 화물을 이용함으로써, 극 박막의 제어성을 확보 가능한 비교적 저온에서 성막이 가능하여, 수율을 높게 할 수 있다.
또한, O2 플라즈마 산화법 등, 비정질 실리콘막을 활성인 산화종을 이용하여 산화함으로써, 메탈 실리케이트막의 다결정화를 억제할 수 있다. 또한, 메탈 실리케이트막의 표면을 플라즈마를 이용하여 질화함으로써, 급경사인 농도 분포를 갖는 질소를 저온에서 메탈 실리케이트막에 도입할 수 있게 된다.
〈제2 실시예〉
이하, 도면을 참조하여, 본 발명의 제2 실시예를 설명한다. 본 실시예는 메탈 실리케이트막(메탈 원소를 함유하는 실리콘 산화막)을, 산소의 활성종을 이용하지 않고, 열 CVD법으로 형성하는 것이다. 가스 소스에는 실리콘을 함유하는 유기 화합물과, 메탈 원소(Zr, Hf, Al 또는 La)를 함유하는 유기 화합물을 이용한다.
〈제2 실시예-A〉
본 실시예는 메탈 실리케이트막을 테트라에톡시 실란(Si(OC2H5)4 : TEOS)과 지르코늄 터셔리부토키사이드(Zr(Ot-C4H9)4: ZTB)를 이용하여, 열 CVD법으로 퇴적하는 예이다.
도 4는 본 실시예에서 이용하는 LPCVD 장치의 일례를 도시한 것이다. 이하, 도 4를 참조하여, 제조 방법을 설명한다.
우선, 8인치 실리콘 기판을 준비하고, 순수로 희석한 불산을 이용하여, 실리콘 기판 표면에 형성되어 있는 자연 산화막을 제거한다. 희불산 처리 후 즉시, 실리콘 기판(103)을 반응 용기(101) 내에 설치되어 있는 서셉터(104) 상에 반송한다. 또한, 반응 용기(101) 내를 진공 펌프(107)로 배기한다.
반응 용기(101) 내의 압력이 10-2Torr 이하에 도달한 후, 매스플로우 콘트롤러(124, 125)에 의해 유량을 300sccm로 설정한 Ar 가스를 반응 용기 내에 도입한다. 그리고, 압력계(108)와 연동하는 압력 조정 밸브(106)에 의해, 반응 용기(101) 내의 압력이 10Torr가 되도록 제어한다. 반응 용기(101) 내의 압력이 안정된 후, 기판 가열 히터(105)에 의해 기판(103)의 가열을 개시한다. 기판(103)의 온도는 서셉터(104)에 접하도록 배치된 열전쌍과 온도 조절기(도시 생략)를 이용하여, 595℃가 되도록 제어한다.
기판 온도가 안정된 후, 산소 가스(O2 가스)를 매스플로우 콘트롤러(123)를 이용하여 유량이 200sccm로 되도록 조정하고, 밸브(143)를 통해, 반응 용기(101)를 통하지 않고 흘린다. 또한, 아르곤 가스를 매스플로우 콘트롤러(121, 122)를 이용하여 각각 100sccm로 되도록 조정하고, 원료 용기(111, 112)에 흘림으로써, 원료의 버블링을 개시한다. 이들 가스도, 각각 밸브(141, 142)를 통해, 반응 용기(101)를 통하지 않고 흘린다.
원료 용기(111) 내에는 TEOS가 충전되어 있고, 원료 용기(112) 내에는 ZTB가 충전되어 있다. 원료 용기(111, 112)는 모두, 70℃가 되도록 온도 제어한다. 또한, 압력계(151, 152) 및 압력 조정 밸브(131, 132)를 이용하여, 원료 용기(111, 112) 내의 압력이 각각 100Torr가 되도록 조정한다. 이러한 조건에서, TEOS는 56sccm, ZTB는 1.6sccm의 유량이 될 것으로 추정된다.
원료의 온도가 70℃로 실온보다 높기 때문에, 반응 용기(101)에 원료 가스를 수송하는 배관 및 밸브를 오븐 내에 수납하여 200℃ 정도로 가열하여, 응집을 방지한다. 또한, 샤워 헤드(102)도, 오일을 이용하여 200℃ 정도로 가열하여, 샤워 헤드 내에서의 원료의 응집도 방지한다. 여기까지가 성막을 개시하기 전의 단계이다.
미리 흘려 둔 산소 가스와 원료 가스를, 밸브(141, 142, 143)를 동시에 밸브(144, 145, 146)로 전환함으로써, 샤워 헤드(102)를 통해 반응 용기(101) 내에 도입하여, 성막을 개시한다. 성막 시간은 10분이다.
10분 경과 후, 밸브(144, 145, 146)를 밸브(141, 142, 143)로 전환함으로서, TEOS, ZTB 및 산소 가스의 반응 용기 내에의 공급을 정지한다. 가스의 공급을 정지한 후, 즉시 기판 가열 히터(105)에의 통전을 정지하여, 기판(103)을 냉각한다. 기판 온도가 200℃까지 저하한 후, 기판(103)을 반응 용기(101)로부터 추출한다.
이와 같이 하여 형성한 박막의 막 두께를 엘립소미터를 이용하여 측정한 결과, 237㎚의 Zr 실리케이트막이 형성되어 있었다. 또한, 기판 온도를 550℃, 570℃로 하여, 마찬가지의 성막을 행하였다. 기판 온도를 바꾼 것 이외에는, 상술한 조건과 동일하다. 그 결과, 550℃에서는 191㎚, 570℃에서는 176㎚이었다. 도 5는 이들 데이터를 성막 속도로 변환한 것이다.
비교를 위해, TEOS만을 이용하여 성막을 행하였다. 성막의 순서는 상술한 것과 완전히 마찬가지이다. 단, ZTB의 공급은 행하지 않았다. 기판 온도는 570℃ 및 590℃로 하였다. 그 결과, 기판 온도 570℃에서는 0.7㎚, 590℃에서는 0.9㎚의 막 두께이었다. 도 6은 이들 데이터를 성막 속도로 변환한 것이다.
또한, ZTB만을 이용하여 595℃에서 성막을 행한 결과, 성막 속도는 0.1㎚/분 이하이었다.
상기한 점으로부터, TEOS와 ZTB의 양방을 동시에 공급한 경우에 한하여, 성막 속도가 증가하는 것을 알 수 있다.
이상과 같이 TEOS의 유량(공급량)의 1/10 이하의 약간의 ZTB를 동시에 공급함으로써, TEOS만인 경우에 비하여, 100배 이상의 성막 속도가 얻어지게 되어, 실용적인 성막 속도에서의 메탈 실리케이트막의 형성이 가능하다.
또한, 기반 기판에 악영향을 주는 플라즈마나 오존 등의 화학적으로 활성인 산소를 이용하지 않아도, 600℃ 이하의 비교적 저온에서, 열 CVD법으로 메탈 실리케이트막을 형성할 수 있다. 이것은 ZTB에 의해 TEOS의 분해 반응이 촉진되기 때문이다.
Zr 실리케이트막에 함유된 Zr 원자 수와 Si 원자 수의 합에 대한 Zr 원자 수의 비율(조성비)을 형광 X선 측정에 의해 조사하였다. 상기 비율을, 여기서는 Zr/(Zr+Si)로 나타낸다. 그 결과, ZTB와 TEOS를 동시에 공급하여 성막한 시료에서는 Zr/(Zr+Si)이 12∼30%이었다. 또한, ZTB와 TEOS의 유량을 제어함으로써, Zr/(Zr+Si)을 5∼30%의 범위에서 제어할 수 있는 것을 확인하였다.
Zr/(Zr+Si)이 커지면, 얻어지는 Zr 실리케이트막의 비유전률이 높아진다. 다시 말하면, Zr과 Si의 비율을 제어함으로써, Zr 실리케이트막의 비유전률을 제어할 수 있게 된다. 이것은 반도체 장치에 응용할 때에 중요하게 된다. 즉, 층간 절연막이나 스페이서막 등, 비유전률이 낮은 쪽이 바람직한 경우에는, Zr/(Zr+Si)이 작아지도록, ZTB와 TEOS의 공급량을 조정한다. 한편, 게이트 절연막과 같이 비유전률이 높은 쪽이 바람직한 경우에는, Zr/(Zr+Si)이 커지도록, ZTB와 TEOS의 공급량을 조정한다.
〈제2 실시예-B〉
본 실시예는 메탈 실리케이트막을 TEOS와 하프늄 터셔리부토키사이드 Hf(0t-C4H9)4:HTB)를 이용하여, 열 CVD법으로 퇴적하는 예이다. 본 실시예에서도, 상술한 제2 실시예-A와 마찬가지로, 도 4에 도시한 LPCVD 장치를 이용한다.
우선, 8인치 실리콘 기판을 준비하고, 순수로 희석한 불산을 이용하여, 실리콘 기판 표면에 형성되어 있는 자연 산화막을 제거한다. 희불산 처리 후 즉시, 실리콘 기판(103)을 반응 용기(101) 내에 설치되어 있는 서셉터(104) 위에 반송한다. 또한, 반응 용기(101) 내를 진공 펌프(107)로 배기한다.
반응 용기(101) 내의 압력이 10-2Torr 이하에 도달한 후, 매스플로우 콘트롤러(124, 125)에 의해 유량을 300sccm로 설정한 Ar 가스를 반응 용기 내에 도입한다. 그리고, 압력계(108)와 연동하는 압력 조정 밸브(106)에 의해, 반응 용기(101) 내의 압력이 1Torr가 되도록 제어한다. 반응 용기(101) 내의 압력이 안정된 후, 기판 가열 히터(105)에 의해 기판(103)의 가열을 개시한다. 기판(103)의 온도는 서셉터(104)에 접하도록 배치된 열전쌍과 온도 조절기(도시 생략)를 이용하여, 570℃가 되도록 제어한다.
기판 온도가 안정된 후, 산소 가스(O2 가스)를 매스플로우 콘트롤러(123)를 이용하여 유량이 200sccm로 되도록 조정하고, 밸브(143)를 통해 반응 용기(101)를 통하지 않고 흘린다. 또한, 아르곤 가스를 매스플로우 콘트롤러(121, 122)를 이용하여 각각 100sccm로 되도록 조정하고, 원료 용기(111, 112)에 흘림으로써, 원료의 버블링을 개시하였다. 이들 가스도, 각각 밸브(141, 142)를 통해, 반응 용기(101)를 통하지 않고 흘린다.
원료 용기(111) 내에는 TEOS가 충전되어 있고, 원료 용기(112) 내에는 HTB가 각각 충전되어 있다. 원료 용기(111, 112)는, 각각 40℃ 및 45℃가 되도록 온도 제어한다. 또한, 압력계(151, 152) 및 압력 조정 밸브(131, 132)를 이용하여, 원료 용기(111, 112) 내의 압력이 각각 100Torr가 되도록 조정한다. 이러한 조건에서, TEOS는 12sccm, ZTB는 0.31sccm의 유량이 될 것으로 추정된다.
원료의 온도가 실온보다 높기 때문에, 반응 용기(101)에 원료 가스를 수송하는 배관 및 밸브를 오븐 내에 수납하여 200℃ 정도로 가열하여, 응집을 방지한다. 또한, 샤워 헤드(102)도, 오일을 이용하여 200℃ 정도로 가열하고, 샤워 헤드 내에서의 원료의 응집도 방지한다. 여기까지가 성막을 개시하기 전의 단계이다.
미리 흘려 둔 산소 가스와 원료 가스를, 밸브(141, 142, 143)를 동시에 밸브(144, 145, 146)로 전환함으로써, 샤워 헤드(102)를 통해 반응 용기(101) 내에 도입하여, 성막을 개시한다. 성막 시간은 10분이다.
10분 경과 후, 밸브(144, 145, 146)를 밸브(141, 142, 143)로 전환함으로써, TEOS, HTB 및 산소 가스의 반응 용기 내에의 공급을 정지한다. 가스의 공급을 정지한 후, 즉시 기판 가열 히터(105)에의 통전을 정지하여, 기판(103)을 냉각한다. 기판 온도가 200℃까지 저하된 후, 기판(103)을 반응 용기(101)로부터 추출한다.
이와 같이 하여 형성한 박막의 막 두께를 엘립소미터를 이용하여 측정한 결과, 40㎚의 Hf 실리케이트막이 형성되어 있었다.
비교를 위해, TEOS만을 이용하여 성막을 행하였다. 성막의 순서는 상술한 것과 완전히 마찬가지이다. 단, HTB의 공급은 행하지 않았다. 그 결과, 막 두께는 0㎚이고, 메탈 실리케이트막의 형성은 인지되지 않았다.
또한, HTB만을 이용하여 570℃에서 성막을 행한 결과, 성막 속도는 0.1㎚/분 이하이었다.
상기한 점으로부터, TEOS와 HTB의 양방을 동시에 공급한 경우에 한하여, 성막 속도가 증가하는 것을 알 수 있다.
이상과 같이 TEOS의 유량(공급량)의 1/10 이하의 약간의 HTB를 동시에 공급함으로써, TEOS만의 경우에 비하여, 성막 속도가 대폭 증가하여, 실용적인 성막 속도에서의 메탈 실리케이트막의 형성이 가능하다.
또한, 기반 기판에 악영향을 주는 플라즈마나 오존 등의 화학적으로 활성인 산소를 이용하지 않아도, 600℃ 이하의 비교적 저온에서, 열 CVD법으로 메탈 실리케이트막을 형성할 수 있다. 이것은 HTB에 의해 TEOS의 분해 반응이 촉진되기 때문이다.
Hf 실리케이트막에 함유된 Hf 원자 수와 Si 원자 수의 합에 대한 Hf 원자 수의 비율(조성비)을 형광 X선 측정에 의해 조사하였다. 상기 비율을 여기서는 Hf/(Hf+Si)로 나타낸다. 그 결과, HTB와 TEOS를 동시에 공급하여 성막한 시료에서는 Hf/(Hf+Si)가 23%이었다. 또한, HTB와 TEOS의 유량을 제어함으로써, Hf/(Hf+Si)를 5∼30%의 범위에서 제어할 수 있는 것을 확인하였다.
Hf/(Hf+Si)가 커지면, 얻어지는 Hf 실리케이트막의 비유전률이 높아진다. 다시 말하면, Hf와 Si의 비율을 제어함으로써, Hf 실리케이트막의 비유전률을 제어할 수 있게 된다. 이것은 반도체 장치에 응용할 때에 중요하게 된다. 즉, 층간 절연막이나 스페이서막 등, 비유전률이 낮은 쪽이 바람직한 경우에는, Hf/(Hf+Si)이 작아지도록 ZTB와 TEOS의 공급량을 조정한다. 한편, 게이트 절연막과 같이 비유전률이 높은 쪽이 바람직한 경우에는, Hf/(Hf+Si)가 커지도록 HTB와 TEOS의 공급량을 조정한다.
본 실시예에서 행한 성막의 결과를 도 7에 도시한다. 기판 온도는 모두 570℃이고, 반응실 내의 압력은 1Torr이며, 성막 시간은 10분이다.
〈제2 실시예-C〉
본 실시예는 제2 실시예-B의 방법에 의해 형성한 메탈 실리케이트막을 갖는 MOS 캐패시터에 관한 것이다.
도 8에 도시한 바와 같이 n형 실리콘 기판(21) 위에, 제2 실시예-B의 방법에 의해 메탈 실리케이트막(22)을 4㎚의 두께로 형성하였다. 막 두께의 제어는 성막 시간을 바꾸는 것으로 행하였다. 형성된 메탈 실리케이트막(22)에서는 Hf/(Hf +Si)가 10%인 것을 형광 X선 측정에 의해 확인하였다. 또한, 메탈 실리케이트막(22) 위에, 백금 전극(23)을 형성하였다. 백금 전극(23)은 섀도 마스크를 통해, 스퍼터링법으로 형성하였다.
이와 같이 하여 제작한 MOS 캐패시터를 이용하여, 용량-전압법(C-V법)에 의해, 계면 단위 밀도를 측정하였다. 그 결과, 실리콘의 대역 갭 내에 형성된 계면 준위 밀도의 최저값은 2×1011-2eV-1이었다.
비교를 위해, 메탈 실리케이트막(22)을 TEOS와 산소를 이용한 플라즈마 CVD법으로 형성하였다. 기판 온도를 400℃로 하고, 13.56㎒의 RF 플라즈마를 이용하였다. 막 두께는 4㎚로 하였다. 전극(23)에는 스퍼터링법으로 형성한 백금을 이용하였다. 이와 같이 하여 형성된 MOS 캐패시터의 계면 준위 밀도를 측정한 결과, 1×1013-2eV-1이었다.
다른 비교예로서, 메탈 실리케이트막(22)을 TEOS-O3을 이용한 열 CVD법으로 형성하고, 전과 마찬가지의 MOS 캐패시터를 제작하였다. 메탈 실리케이트막(22)은 핫월형 CVD 장치를 이용하고, 상압, 400℃에서 형성하였다. 막 두께는 4㎚로 하였다. 상부 전극(23)은 백금으로 하였다. 이 MOS 캐패시터를 이용하여 측정한 결과, 계면 단위 밀도는 5×1012-2eV-1이었다.
도 9는 상술한 각 측정 결과를 정리한 것이다.
플라즈마 CVD법으로 메탈 실리케이트막을 형성한 경우에, 계면 단위 밀도가 높아지는 것은, 메탈 실리케이트막의 형성 시에, 플라즈마에 의해 실리콘 기판 표면이 손상을 받기 때문이다. TEOS-O3을 이용한 열 CVD법으로 메탈 실리케이트막을 형성한 경우에, 계면 준위 밀도가 높아지는 것은, O3의 화학 반응성이 높기 때문에, 실리콘 기판 표면이 양호한 상태를 유지할 수 없기 때문이다.
이에 대하여, 본 실시예에서는 산소의 활성종을 이용하지 않기 때문에, 결함이 적은 산화막/실리콘 계면을 형성할 수 있다. 결과적으로, 낮은 계면 단위 밀도가 얻어진다.
이상과 같이 본 실시예에 따르면, 실리콘 소스와 메탈 소스의 상호 작용에 의해, 소스 가스의 분해가 촉진된다. 그 때문에, 예를 들면 600℃ 이하의 저온에서도, 산소의 활성종을 이용하지 않고, 열 CVD법으로 양질의 메탈 실리케이트막을 형성할 수 있다. 또한, 산소의 활성종을 이용하지 않기 때문에, 메탈 실리케이트막과 반도체 기판 사이의 계면에서는 우수한 계면 특성을 얻을 수 있다.
또한, 메탈 원소로서는 상술한 Zr 및 Hf 외에, Al 또는 La를 이용해도 된다. 이들 금속 원소를 이용함으로써, 메탈 실리케이트막의 실효적인 유전율을 증가시킬 수 있다. 그 때문에, 물리적 막 두께가 두꺼운 메탈 실리케이트막을 게이트 절연막으로서 이용할 수 있어, 고성능이며 고신뢰성의 반도체 장치를 실현할 수 있다. 또, 메탈 실리케이트막 내에 함유되는 메탈 원소는 반드시 1종류일 필요는 없고, Zr, Hf, Al 및 La 중의 2종류 이상의 원소가 함유되어 있어도, 마찬가지의 효과를 얻을 수 있다.
또한, 실리콘 소스로서는 실리콘을 함유하는 유기 화합물을 이용할 수 있으며, 메탈 소스로서는 Zr, Hf, Al 및 La 중의 적어도 하나의 메탈 원소를 함유하는 유기 화합물을 이용할 수 있다.
특히, 실리콘을 함유하는 유기 화합물로서는 TEOS 등, 실리콘의 알콕시드 화합물을 이용하는 것이 바람직하다. 또한, 메탈 원소를 함유하는 유기 화합물로서는, 터셔리부토키사이드 화합물(M(Ot-C4H9)4: 단, M은 Zr, Hf, Al 또는 La) 등의 메탈 원소의 알콕시드 화합물을 이용하는 것이 바람직하다. 이들 화합물은 증기압이 높기 때문에, CVD의 제어성이 향상된다. 그 결과, 막 두께 균일성이나 조성 제어성에 우수한 메탈 실리케이트막의 형성이 가능하게 된다.
또한, 반응 용기에 공급되는 메탈 소스의 유량(공급량)이 실리콘 소스의 유량(공급량)의 1/10보다 크면, 메탈 실리케이트막의 성막 속도의 증대 효과가 얻어지기 어렵지만, 1/10 이하로 함으로써 성막 속도를 대폭 증대시킬 수 있다.
또한, 메탈 실리케이트막에 함유된 메탈 원소의 원자 수를 NM, 실리콘의 원자 수를 Nsi로 하여,
0<NM/(NM+Nsi)<0.5
인 것이 바람직하다. 메탈 원소의 비율이 너무 높으면 메탈 실리케이트막이 열적으로 불안정하게 되고, 특히 비율이 0.5 이상으로 되면 그 경향이 현저해지기 때문이다.
〈제3 실시예〉
이하, 도면을 참조하여, 본 발명의 제3 실시예를 설명한다. 본 실시예는 메탈 실리케이트막에 함유되는 메탈 원소(Zr, Hf, Al 또는 La)에 농도 분포를 갖게 하는 방법에 관한 것이다.
도 10은, 예를 들면 제2 실시예에서 설명한 바와 같은 CVD 장치를 이용하여 메탈 실리케이트막을 형성할 때의, 가스 공급 시퀀스를 도시한 도면이다. 여기서는 실리콘 소스로서 TEOS를 이용하고, 메탈 소스로서 ZTB(또는 HTB)를 이용하고 있다. 또, 기본적인 성막 조건 등에 대해서는, 제2 실시예와 마찬가지로 여기서는 설명을 생략한다.
우선, 실리콘 기판이 수용된 반응 용기 내에의 TEOS의 공급을 개시한다. TEOS의 공급이 안정된 후, ZTB의 공급을 개시하고, ZTB의 공급량을 서서히 증가시켜 간다. 그 후, ZTB의 공급량을 일정하게 유지하고, 소정 시간 경과한 후, ZTB의 공급량을 서서히 감소시켜 간다. 그 후, ZTB의 공급을 정지하고, 또한 TEOS의 공급을 정지한다. 이와 같이 하여, 실리콘 기판 위에 메탈 실리케이트막이 형성된다. 또한, 질소 플라즈마를 이용하여 메탈 실리케이트막의 표면을 질화한다.
이와 같이 하여 얻어진 메탈 실리케이트막에서는 메탈 원소 및 질소의 농도 분포는, 예를 들면 제1 실시예에서 설명한 도 2와 같이 된다. 따라서, 도 3의 (a) 내지 (e)에서 설명한 바와 같은 공정을 감소시킴으로써, 제1 실시예에서 설명한 것과 마찬가지의 효과를 갖는 반도체 장치를 얻을 수 있다.
또한, 실리콘 소스 및 메탈 소스에는 TEOS 및 ZTB 이외에도, 제2 실시예에서 상술한 것을 마찬가지로 적용 가능하다. 따라서, 예를 들면 제2 실시예와 마찬가지로 산소의 활성종을 이용하지 않는 열 CVD법을 이용함으로써, 제2 실시예에서 설명한 것과 마찬가지의 효과를 갖는 반도체 장치를 얻을 수 있다.
이상과 같이 본 실시예에 따르면, 메탈 실리케이트막 내의 메탈 원소나 질소의 농도 분포를 최적화할 수 있어, 고성능이며 고신뢰성의 반도체 장치를 실현할 수 있다. 또한, 산소의 활성종을 이용하지 않는 열 CVD법을 이용함으로써, 보다 고성능이며, 고신뢰성의 반도체 장치를 실현할 수 있다.
이상, 본 발명의 실시예를 설명하였지만, 본 발명은 상기 실시예에 한정되는 것이 아니라, 그 취지를 일탈하지 않는 범위 내에서 여러가지 변형하여 실시하는 것이 가능하다. 또한, 상기 실시예에는 여러가지의 단계의 발명이 포함되어 있고, 개시된 구성 요건을 적절하게 조합함으로써 다양한 발명이 추출될 수 있다. 예를 들면, 개시된 구성 요건으로부터 몇 개의 구성 요건이 삭제되어도, 소정의 효과가 얻어지는 것이면 발명으로서 추출될 수 있다.
본 발명에 따르면, 메탈 원소를 함유하는 실리콘 산화막을 갖는 반도체 장치의 특성이나 신뢰성을 향상시킬 수 있다.
도 1은 본 발명의 제1 실시예에 관한 것으로, 메탈 실리케이트막 내의 메탈 원소의 막 두께 방향의 농도 분포를 모식적으로 도시한 도면.
도 2는 본 발명의 제1 실시예에 관한 것으로, 메탈 실리케이트막 내의 메탈 원소 및 질소의 막 두께 방향의 농도 분포를 모식적으로 도시한 도면.
도 3은 본 발명의 제1 실시예에 따른 반도체 장치의 제조 방법을 도시한 단면도.
도 4는 본 발명의 제2 실시예에 관한 것으로, CVD 장치의 구성을 도시한 도면.
도 5는 본 발명의 제2 실시예에 관한 것으로, 메탈 실리케이트막의 성막 속도와 기판 온도와의 관계를 도시한 도면.
도 6은 본 발명의 제2 실시예의 비교예에 관한 것으로, 메탈 실리케이트막의 성막 속도와 기판 온도와의 관계를 도시한 도면.
도 7은 본 발명의 제2 실시예에 관한 것으로, HTB와 TEOS의 유량을 변화시켰을 때의, 메탈 실리케이트막의 측정 결과를 도시한 도면.
도 8은 본 발명의 제2 실시예에 따른 반도체 장치의 구성을 도시한 단면도.
도 9는 본 발명의 제2 실시예에 관한 것으로, 계면 준위 밀도의 저감 효과를 도시한 도면.
도 10은 본 발명의 제3 실시예에 관한 것으로, 가스 공급 시퀀스를 도시한 도면.
〈도면의 주요 부분에 대한 부호의 설명〉
11 : 실리콘 기판
12 : 비정질 실리콘막
13 : Zr 실리케이트막
14 : 표면이 질화된 Zr 실리케이트막
15 : 폴리 SiGe막
21 : n형 실리콘 기판
22 : 메탈 실리케이트막
23 : 백금 전극
101 : 반응 용기
102 : 샤워 헤드
103 : 실리콘 기판
104 : 서셉터
105 : 기판 가열 히터
106, 131, 132 : 압력 조정 밸브
107 : 진공 펌프
108, 151, 152 : 압력계
109 : 가열 오븐
111, 112 : 원료 용기
121, 122, 123, 124, 125 : 매스플로우 콘트롤러
141, 142, 143, 144, 145, 146 : 밸브

Claims (13)

  1. 실리콘을 함유하는 유기 화합물과, Zr, Hf, Al 및 La의 그룹 중에서 선택된 메탈 원소를 함유하는 유기 화합물을, 기판이 보유된 용기에 공급하는 공정과,
    산소의 활성종을 이용하지 않은 열 CVD에 의해, 상기 기판 위에 상기 메탈 원소를 함유하는 실리콘 산화막을 형성하는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 용기에 O2 가스를 더 공급하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 메탈 원소를 함유하는 실리콘 산화막은 게이트 절연막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 메탈 원소를 함유하는 유기 화합물은 다른 메탈 원소를 더 함유하고,
    상기 다른 메탈 원소는 Zr, Hf, Al 및 La의 그룹 중에서 선택되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 용기에 공급되는 상기 메탈 원소를 함유하는 유기 화합물의 공급량은, 상기 용기에 공급되는 상기 실리콘을 함유하는 유기 화합물의 공급량의 1/10 이하인 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 실리콘 산화막에 함유된 메탈 원소의 원자 수를 NM, 실리콘의 원자 수를 Nsi로 할 때,
    0<NM/(NM+Nsi)<0.5
    인 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 메탈 원소를 함유하는 실리콘 산화막을 CVD에 의해 반도체 기판 위에 형성하는 공정을 포함하는 반도체 장치의 제조 방법으로서,
    반도체 기판이 보유된 용기에의 실리콘을 함유하는 유기 화합물의 공급을 개시하는 공정과,
    상기 실리콘을 함유하는 유기 화합물의 공급을 개시한 후에, 상기 용기에의 메탈 원소를 함유하는 유기 화합물의 공급을 개시하는 공정과,
    상기 용기에의 상기 메탈 원소를 함유하는 유기 화합물의 공급량을 증가시키는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 제7항에 있어서,
    상기 메탈 원소를 함유하는 유기 화합물의 공급량을 증가시킨 후, 상기 용기에의 상기 메탈 원소를 함유하는 유기 화합물의 공급량을 감소시키는 공정과,
    상기 용기에의 상기 메탈 원소를 함유하는 유기 화합물의 공급을 정지하는 공정과,
    상기 메탈 원소를 함유하는 유기 화합물의 공급을 정지한 후, 상기 용기에의 상기 실리콘을 함유하는 유기 화합물의 공급을 정지하는 공정
    을 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 제8항에 있어서,
    상기 실리콘을 함유하는 유기 화합물의 공급을 정지한 후에 얻어진 상기 메탈 원소를 함유하는 실리콘 산화막의 표면을 질화하는 공정을 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 제1항 또는 제7항에 있어서,
    상기 실리콘을 함유하는 유기 화합물은 실리콘의 알콕시드 화합물인 것을 특징으로 하는 반도체 장치의 제조 방법.
  11. 제10항에 있어서,
    상기 실리콘의 알콕시드 화합물은 테트라에톡시 실란인 것을 특징으로 하는 반도체 장치의 제조 방법.
  12. 제1항 또는 제7항에 있어서,
    상기 메탈 원소를 함유하는 유기 화합물은 상기 메탈 원소의 알콕시드 화합물인 것을 특징으로 하는 반도체 장치의 제조 방법.
  13. 제12항에 있어서,
    상기 메탈 원소의 알콕시드 화합물은 터셔리부토키시 화합물인 것을 특징으로 하는 반도체 장치의 제조 방법.
KR10-2005-0003200A 2002-01-08 2005-01-13 반도체 장치의 제조 방법 KR100512824B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002001546A JP4102072B2 (ja) 2002-01-08 2002-01-08 半導体装置
JPJP-P-2002-00001546 2002-01-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0000790A Division KR100502381B1 (ko) 2002-01-08 2003-01-07 반도체 장치 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20050011003A KR20050011003A (ko) 2005-01-28
KR100512824B1 true KR100512824B1 (ko) 2005-09-07

Family

ID=19190630

Family Applications (2)

Application Number Title Priority Date Filing Date
KR10-2003-0000790A KR100502381B1 (ko) 2002-01-08 2003-01-07 반도체 장치 및 반도체 장치의 제조 방법
KR10-2005-0003200A KR100512824B1 (ko) 2002-01-08 2005-01-13 반도체 장치의 제조 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR10-2003-0000790A KR100502381B1 (ko) 2002-01-08 2003-01-07 반도체 장치 및 반도체 장치의 제조 방법

Country Status (5)

Country Link
US (4) US6844234B2 (ko)
JP (1) JP4102072B2 (ko)
KR (2) KR100502381B1 (ko)
CN (1) CN1431716A (ko)
TW (1) TW589660B (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4239015B2 (ja) 2002-07-16 2009-03-18 日本電気株式会社 半導体装置の製造方法
JP2004193150A (ja) * 2002-12-06 2004-07-08 Toshiba Corp 半導体装置および半導体装置の製造方法
JP4112404B2 (ja) * 2003-03-13 2008-07-02 株式会社東芝 半導体装置の製造方法
WO2004082011A1 (ja) * 2003-03-13 2004-09-23 Fujitsu Limited 半導体装置と半導体装置の製造方法
US20040237889A1 (en) * 2003-05-28 2004-12-02 Winbond Electronics Corporation Chemical gas deposition process and dry etching process and apparatus of same
JP2005079223A (ja) 2003-08-29 2005-03-24 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP3790242B2 (ja) * 2003-09-26 2006-06-28 株式会社東芝 半導体装置及びその製造方法
JP4059183B2 (ja) 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
WO2005037856A2 (en) 2003-10-15 2005-04-28 Board Of Regents, The University Of Texas System Multifunctional biomaterials as scaffolds for electronic, optical, magnetic, semiconducting, and biotechnological applications
JP4629325B2 (ja) * 2003-10-17 2011-02-09 東京エレクトロン株式会社 トランジスタの製造方法
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP4680066B2 (ja) * 2004-01-28 2011-05-11 東京エレクトロン株式会社 基板処理装置の処理室清浄化方法、基板処理装置、および基板処理方法
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
GB0412790D0 (en) * 2004-06-08 2004-07-14 Epichem Ltd Precursors for deposition of silicon nitride,silicon oxynitride and metal silicon oxynitrides
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4563113B2 (ja) * 2004-08-26 2010-10-13 株式会社日立国際電気 シリコン酸化膜の形成方法、半導体デバイスの製造方法および基板処理装置
JP4564310B2 (ja) * 2004-09-01 2010-10-20 株式会社日立国際電気 半導体装置の製造方法
JP4028538B2 (ja) * 2004-09-10 2007-12-26 株式会社東芝 半導体装置の製造方法およびその製造装置
US7563727B2 (en) * 2004-11-08 2009-07-21 Intel Corporation Low-k dielectric layer formed from aluminosilicate precursors
KR100648632B1 (ko) 2005-01-25 2006-11-23 삼성전자주식회사 높은 유전율을 갖는 유전체 구조물의 제조 방법 및 이를 포함하는 반도체 소자의 제조 방법
US7517814B2 (en) * 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7501352B2 (en) * 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
JP4689324B2 (ja) * 2005-04-04 2011-05-25 東京エレクトロン株式会社 成膜装置、成膜方法および記録媒体
JP2006310601A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置およびその製造方法
KR100608453B1 (ko) * 2005-04-30 2006-08-02 주식회사 아이피에스 HfSiN 박막증착방법
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
WO2007040057A1 (ja) 2005-10-04 2007-04-12 Nec Corporation 半導体装置
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
JP4413858B2 (ja) 2005-12-13 2010-02-10 株式会社東芝 乱数検定回路
JP2007235093A (ja) * 2006-01-31 2007-09-13 Toshiba Corp 半導体装置の製造方法
US8148275B2 (en) * 2007-12-27 2012-04-03 Canon Kabushiki Kaisha Method for forming dielectric films
US8012822B2 (en) * 2007-12-27 2011-09-06 Canon Kabushiki Kaisha Process for forming dielectric films
JP5286052B2 (ja) 2008-11-28 2013-09-11 株式会社東芝 半導体装置及びその製造方法
KR101584100B1 (ko) 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
WO2015083303A1 (ja) * 2013-12-02 2015-06-11 株式会社Joled 薄膜トランジスタ及びその製造方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US500113A (en) * 1893-06-27 harrison
US64970A (en) * 1867-05-21 graham
US23120A (en) * 1859-03-01 Washing-machine
US3796182A (en) * 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
JPS5661165A (en) 1979-10-24 1981-05-26 Fujitsu Ltd Control of threshold voltage of transistor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
JPH04221822A (ja) * 1990-12-21 1992-08-12 Kazuo Tsubouchi 堆積膜形成法
JPH05226608A (ja) 1992-02-10 1993-09-03 Matsushita Electric Ind Co Ltd 薄膜高誘電率体およびその製造方法
JPH05239650A (ja) 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd シリコン酸化膜の製造法
JP2900732B2 (ja) 1992-11-24 1999-06-02 三菱電機株式会社 光導波路の製造方法
KR100291971B1 (ko) * 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
US6159854A (en) * 1994-08-22 2000-12-12 Fujitsu Limited Process of growing conductive layer from gas phase
KR100272259B1 (ko) * 1996-10-23 2000-12-01 김영환 반도체소자의실리사이드막의형성방법
JPH10308361A (ja) 1997-05-07 1998-11-17 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3406811B2 (ja) 1997-09-17 2003-05-19 株式会社東芝 半導体装置及びその製造方法
JPH11135774A (ja) 1997-07-24 1999-05-21 Texas Instr Inc <Ti> 高誘電率シリケート・ゲート誘電体
US6451686B1 (en) * 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
JPH11111715A (ja) 1997-10-03 1999-04-23 Hitachi Ltd 半導体集積回路装置の製造方法
US6146938A (en) * 1998-06-29 2000-11-14 Kabushiki Kaisha Toshiba Method of fabricating semiconductor device
JP2000049349A (ja) 1998-07-15 2000-02-18 Texas Instr Inc <Ti> 集積回路に電界効果デバイスを製造する方法
US6291283B1 (en) * 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
JP3415496B2 (ja) 1999-07-07 2003-06-09 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
AU2001234468A1 (en) * 2000-01-19 2001-07-31 North Carolina State University Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors and methods of fabricating same
JP2001257344A (ja) 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP2001291865A (ja) 2000-04-10 2001-10-19 Sharp Corp 絶縁ゲート型トランジスタ及びその製造方法
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
JP2002076336A (ja) * 2000-09-01 2002-03-15 Mitsubishi Electric Corp 半導体装置およびsoi基板
US6486080B2 (en) * 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US6566147B2 (en) * 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US20030013241A1 (en) * 2001-07-16 2003-01-16 Motorola, Inc. Structure and method for fabricating vertical fet semiconductor structures and devices
JP4120938B2 (ja) 2001-08-23 2008-07-16 日本電気株式会社 高誘電率絶縁膜を有する半導体装置とその製造方法

Also Published As

Publication number Publication date
JP2003204061A (ja) 2003-07-18
US6844234B2 (en) 2005-01-18
CN1431716A (zh) 2003-07-23
TW589660B (en) 2004-06-01
JP4102072B2 (ja) 2008-06-18
US7282774B2 (en) 2007-10-16
US20050006674A1 (en) 2005-01-13
US7858536B2 (en) 2010-12-28
KR20030060804A (ko) 2003-07-16
US7101775B2 (en) 2006-09-05
US20060244083A1 (en) 2006-11-02
US20080242115A1 (en) 2008-10-02
US20030127640A1 (en) 2003-07-10
KR100502381B1 (ko) 2005-07-19
KR20050011003A (ko) 2005-01-28

Similar Documents

Publication Publication Date Title
KR100512824B1 (ko) 반도체 장치의 제조 방법
KR100848423B1 (ko) 유전체 코팅 및 유전체 코팅을 형성하는 방법
US7498270B2 (en) Method of forming a silicon oxynitride film with tensile stress
JP4512098B2 (ja) 半導体装置の製造方法及び基板処理装置
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
US20070141257A1 (en) Method and apparatus for forming metal silicate film, and method for manufacturing semiconductor device
US6974779B2 (en) Interfacial oxidation process for high-k gate dielectric process integration
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
WO2005096358A1 (en) A silicon germanium surface layer for high-k dielectric integ ration
JP4259247B2 (ja) 成膜方法
KR20050033831A (ko) 절연체 박막의 제조 방법과 절연체 박막 및 반도체 장치의제조 방법과 반도체 장치
JP4224044B2 (ja) 半導体装置の製造方法
JP2004039990A (ja) 被処理体の酸化方法
JP2008258614A (ja) 基板上への酸窒化物薄膜の成長方法
KR20020002827A (ko) 반도체 소자의 게이트 제조방법
JP2006093240A (ja) 成膜方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180730

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20190729

Year of fee payment: 15