KR100481657B1 - 반도체 장치 및 그 제조 방법 - Google Patents
반도체 장치 및 그 제조 방법 Download PDFInfo
- Publication number
- KR100481657B1 KR100481657B1 KR10-2001-0085003A KR20010085003A KR100481657B1 KR 100481657 B1 KR100481657 B1 KR 100481657B1 KR 20010085003 A KR20010085003 A KR 20010085003A KR 100481657 B1 KR100481657 B1 KR 100481657B1
- Authority
- KR
- South Korea
- Prior art keywords
- insulating film
- trench
- gate electrode
- source
- buried
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 70
- 238000004519 manufacturing process Methods 0.000 title claims description 18
- 238000002955 isolation Methods 0.000 claims abstract description 74
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 69
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 69
- 239000010703 silicon Substances 0.000 claims abstract description 69
- 239000000758 substrate Substances 0.000 claims abstract description 60
- 238000009792 diffusion process Methods 0.000 claims description 51
- 238000000034 method Methods 0.000 claims description 29
- 229910021332 silicide Inorganic materials 0.000 claims description 22
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 22
- 238000005468 ion implantation Methods 0.000 claims description 10
- 238000005530 etching Methods 0.000 claims description 8
- 238000009413 insulation Methods 0.000 claims 2
- 239000013078 crystal Substances 0.000 abstract description 10
- 239000010410 layer Substances 0.000 description 89
- 229910052751 metal Inorganic materials 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- 229910052581 Si3N4 Inorganic materials 0.000 description 15
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 15
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 12
- 229920005591 polysilicon Polymers 0.000 description 12
- 229910052814 silicon oxide Inorganic materials 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 238000000926 separation method Methods 0.000 description 10
- 238000010438 heat treatment Methods 0.000 description 8
- 230000001133 acceleration Effects 0.000 description 5
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 5
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 230000003071 parasitic effect Effects 0.000 description 4
- 238000004151 rapid thermal annealing Methods 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000010301 surface-oxidation reaction Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 238000001015 X-ray lithography Methods 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000007772 electrode material Substances 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 238000004826 seaming Methods 0.000 description 1
- 239000011856 silicon-based particle Substances 0.000 description 1
- 239000000779 smoke Substances 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/665—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823418—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823481—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
- H01L29/41783—Raised source or drain electrodes self aligned with the gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66515—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6653—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66613—Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
- H01L29/66628—Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S257/00—Active solid-state devices, e.g. transistors, solid-state diodes
- Y10S257/90—MOSFET type gate sidewall insulating spacer
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
- Element Separation (AREA)
Abstract
트랜지스터의 미세화에 관계없이 소스/드레인 영역 상의 컨택트 면적이 크고, 또한, 소자 분리 특성이 양호한 반도체 장치를 제공한다. 게이트 측벽 절연막의 단면 형상을 L자형 및 역 L자형으로 하여 게이트 전극 근방의 실리콘 기판 표면의 일부를 덮도록 하고, 소스/드레인 영역으로부터 선택적으로 에피택셜 성장한 실리콘 단결정층을 실리콘 기판 표면의 일부를 덮는 게이트 측벽 절연막의 상면으로 연장시킴으로써, 트랜지스터의 미세화에 관계없이 소스/드레인 영역 상의 컨택트 면적을 확보하여 트랜지스터의 직렬 저항을 저감시키고, 엘레베이티드 소스/드레인 구조의 고성능 MOS 트랜지스터로 이루어지는 반도체 장치를 제공한다.
Description
본 발명은 반도체 장치에 관한 것으로, 특히 엘레베이티드 소스/드레인(Elebated Souce/Drain) 구조를 구비한 NMOS/PMOS형 트랜지스터의 구조와 제조 방법에 관한 것이다.
종래의 CMOS형 반도체 장치는 고속화, 고성능화의 요구에 따라 미세화가 진행되고, 이에 따라 게이트 소스/드레인 컨택트간 거리의 스케일링이 요구되고 있다. 이어서, 종래의 실리사이드 전극을 구비한 고성능 MOS 트랜지스터의 미세화에 관한 문제점을 도면을 참조하여 설명한다.
도 6의 (a)는 실리사이드 전극을 구비하는 종래의 고성능 MOS 트랜지스터의 구조를 나타내는 단면도이다. 도 6의 (a)에 도시한 MOS 트랜지스터는 실리콘 기판(101)과, 셸로우 트렌치 아이솔레이션(Shallow Trench Isolation 이하, STI라 함)의 소자 분리 절연막(102)과, 게이트 절연막(105)을 통해 실리콘 기판 상에 형성된 폴리실리콘(106)으로 이루어지는 게이트 전극과, 이것을 마스크로 하여 이온 주입함으로써 형성된 얕은 소스/드레인 확산층(107)과, 게이트 전극의 측벽에 형성된 게이트 측벽 절연막(109)과, 게이트 측벽 절연막(109)을 구비하는 게이트 전극을 마스크로 하여 이온 주입함으로써 형성된 고농도의 깊은 소스/드레인 확산층(111)과, 이 고농도의 깊은 소스/드레인 확산층(111)의 노출면 및 폴리실리콘(106)으로 이루어지는 게이트 전극 상에 형성된 코발트 실리사이드 등의 금속 실리사이드(112)로 구성된다. 여기서 S1은 고농도의 깊은 소스/드레인 확산층(111)을 덮는 금속 실리사이드의 게이트 길이 방향의 확대를 나타내는 길이이다.
반도체 장치의 미세화 시에, 스케일링에 따라 게이트 측벽 절연막(109)의 폭을 미세화하는 것이 불가결하지만, 표면이 실리사이드화된 고농도의 깊은 소스/드레인 확산층(111)과 실리콘 기판(101)과의 접합면에서의 누설 전류의 발생을 고려하면, 고농도의 깊은 소스/드레인 확산층(111)의 깊이를 어느 정도 크게 하는 것이 필요해진다. 이 때 게이트 측벽 절연막(109)의 폭을 작게 하면, 고농도의 깊은 소스/드레인 확산층(111)에 의한 쇼트 채널 효과를 억제할 수 없기 때문에, 종래 실리사이드 전극을 구비하는 고성능 MOS 트랜지스터에서, 게이트 측벽 폭을 스케일링에 의해 미세화하는 것은 곤란하였다.
한편, 게이트 측벽 절연막(109)의 끝으로부터 STI의 소자 분리 절연막(102)의 소스/드레인측의 변 가장자리까지의 거리 S1을 스케일링에 의해 축소하면, 고농도의 깊은 소스/드레인 확산층 상에 형성되는 금속 실리사이드의 면적이 감소하여, 기생 저항이 증가한다. 특히 컨택트홀을 소스/드레인 형성 영역의 코너부에 설치하는 코너 컨택트형의 MOS 트랜지스터 구조를 이용하는 경우에는, 이 기생 저항의 증가는 큰 문제로 되었다.
다음에, 상기한 문제에 관련하여 도 6의 (b)를 이용하여 실리사이드 전극을 구비하는 고성능 MOS 트랜지스터의 소스/드레인 영역과 반도체 기판 상의 배선을 접속하는 컨택트홀의 형성 방법 및 그 문제점에 대하여 상세히 설명한다. 도 6의 (b)는 실리사이드 전극을 구비하는 고성능 MOS 트랜지스터에 컨택트홀을 형성하는 공정을 나타내는 단면도이다. STI의 소자 분리 절연막(102)으로 상호 분리되어 실리사이드 전극을 구비하는 고성능 MOS 트랜지스터를 덮도록 층간 절연막(118)을 형성하고, 레지스트(도시하지 않음)와 리소그래피를 이용하여 고농도의 깊은 소스/드레인 확산층 상의 금속 실리사이드(112)와 마스크 정합하여 층간 절연막(118)에 컨택트홀(119)을 형성한다.
이 때, 도 6의 (a)의 S1을 스케일링에 의해 축소하면, 도 6의 (b)에 도시한 바와 같이 마스크 정합의 어긋남이 생겨 컨택트홀(119)이 일부 게이트 측벽 절연막(109)에 걸치게 되어, 금속 실리사이드(112)와의 접속 면적에 상당하는 거리 S2가 매우 작아진다. 반도체 기판 상의 금속 배선(도시하지 않음)과 금속 실리사이드(112)와의 접속은, 컨택트홀(119)에 금속 재료로 이루어지는 컨택트 플러그를 매립함으로써 이루어지기 때문에, S2가 작아지면, MOS 트랜지스터의 소스/드레인간의기생 저항이 증대되어 동작 속도가 저하한다.
또한, 반도체 장치 개발의 세대가 진행함에 따라, MOS 트랜지스터의 얕은 소스/드레인 확산층(107)뿐만 아니라, 고농도의 깊은 소스/드레인 확산층(111)도 얕게 하는 것이 필요해진다. 그러나, 앞에서 설명한 바와 같이, 고농도의 소스/드레인 확산층(111)을 얕게 하면, 실리사이드(112)의 형성 시에 접합 누설의 발생과 이에 따르는 CMOS 회로의 소비 전력의 증가가 문제로 된다. 그 대책으로서, 종래, 고농도의 소스/드레인 확산 영역 상에 선택적으로 단결정 실리콘층을 에피택셜 성장하고, 이 단결정 실리콘층을 포함해서 실리콘 기판 표면을 고농도화함으로써, 실질적으로 실리콘 기판에서의 고농도 확산층을 얕게 하는 엘레베이티드 소스/드레인 구조가 제안되어 왔다. 이것을 이용하면, 소스/드레인 확산 영역 상에 선택 에피택셜법에 의해 성장한 단결정 실리콘층의 표면이 실리사이드화되기 때문에, 접합 누설의 발생을 회피할 수 있다.
엘레베이티드 소스/드레인 구조를 적용할 때, STI의 소자 분리 절연막(102) 및 게이트 측벽 절연막(109)의 상면에는 단결정 실리콘층이 전혀 성장하지 않고, 소스/드레인 확산 영역의 상면에만 선택적으로 단결정 실리콘층이 성장하도록 하여, STI의 소자 분리 특성을 확보하는 것이 CMOS 회로를 정상적으로 동작시키는 데 있어서 필수적인 조건으로 된다. 그러나, 분리 폭이 작은 STI에서는, 소자 분리 절연막(102)을 트렌치에 매립할 때 매립성이 나쁘게 되는 것에 기인하여 트렌치 폭의 중앙부에 트렌치의 길이 방향에 따라 소자 분리 절연막의 시임(seam)이 발생하여, 소스/드레인 확산 영역 상에 단결정 실리콘층을 선택 성장시킬 때, 이 시임의 부분이 성장핵으로 되어 STI의 폭 방향의 중앙부에 실리콘 입자가 발생한다.
다음에, 도 7을 참조하여 엘레베이티드 소스/드레인 구조에서의 소자 분리 특성의 불량 발생에 대하여 보다 상세히 설명한다. 도 7의 (a)는 종래의 엘레베이티드 소스/드레인 구조에서의 정상적인 STI 구조를 나타내는 단면도이다. 도 7의 (a)에 도시한 STI의 소자 분리 절연막(102)은 트렌치 폭이 넓기 때문에 소자 분리 절연막(102)의 매립성이 양호하여, STI의 중앙부에서의 시임의 발생은 보이지 않는다. 따라서, 실리콘 기판(101) 상에 실리콘 단결정층(110)을 선택 에피택셜 성장시키면, STI와 실리콘 기판(101)이 인접하는 소자 분리 절연막(102)의 변 가장자리에는 실리콘 단결정층(110)이 성장하지만, 소자 분리 절연막(102)의 중앙부에는 실리콘이 성장하지 않기 때문에, 양호한 소자 분리 특성을 유지할 수 있다.
그러나, 도 7의 (b)에 도시한 바와 같이, 트렌치 폭이 좁게 되면, 소자 분리 절연막(116)에 의한 트렌치의 매립성이 불량하게 되어, 트렌치의 길이 방향에 따라 트렌치 폭의 중앙부에, 소자 분리 절연막(116)의 시임(117)이 발생된다. 이와 같이 시임(117)이 발생된 소자 분리 절연막(116)의 표면은, 에피택셜 성장 과정에서 실리콘 기판 표면 사이의 면 선택성이 저하하여, 시임(117)을 성장핵으로 하는 실리콘 결정립(110a)이 발생된다. 이 때문에, 소자 분리 절연막(116)의 표면에서의 누설 전류가 증가하고, STI의 소자 분리 특성이 저하하여 쇼트하기에 이른다. 또, 도 7의 (a), 도 7의 (b)의 설명에서, 고농도의 깊은 소스/드레인 확산층(111)은 도면을 쉽게 보기 위해서 생략되어 있다.
상기한 바와 같이 종래 엘레베이티드 소스/드레인 구조를 이용함으로써 MOS 트랜지스터의 고성능화가 도모되고 있지만, 게이트 측벽 절연막의 스케일링에 의한 미세화가 곤란하기 때문에 소스/드레인 영역 상의 컨택트의 면적이 작아져서, 컨택트홀에 의한 배선과의 접속이 곤란하게 된다고 하는 문제가 있었다. 또, STI의 미세화에 따라 트렌치 매립 시에, 소자 분리 절연막에 시임이 발생하고, 소스/드레인 확산층 상에 실리콘 단결정층을 선택 에피택셜 성장하면, 시임이 성장핵으로 되어 소자 분리 절연막 상에 실리콘 결정립이 발생되어, STI의 소자 분리 특성이 악화된다고 하는 문제가 있었다.
본 발명은 상기한 문제점을 해결하기 위해 이루어진 것으로, 스케일링에 의한 트랜지스터 구조의 미세화에 관계없이, 소스/드레인 영역 상의 컨택트 면적을 확보할 수 있는 반도체 장치와 그 제조 방법을 제공하는 것을 목적으로 하고 있다. 또한 본 발명은, STI의 미세화에 관계없이 STI에 매립되는 소자 분리 절연막의 표면과 소스/드레인 확산층 상의 기판 표면 사이에서 높은 면 선택성이 확보되어, STI의 양호한 소자 분리 특성을 유지하면서 엘레베이티드 소스/드레인 구조를 실현 가능한 반도체 장치와 그 제조 방법을 제공하는 것을 다른 목적으로 한다.
본 발명의 반도체 장치는, 엘레베이티드 소스/드레인 구조의 고성능 MOS 트랜지스터에 있어서, 게이트 측벽 절연막의 단면 형상을 L자형/역 L자형로 하여 게이트 전극 근방의 실리콘 기판 표면의 일부를 덮도록 하고, 소스/드레인 영역으로부터 에피택셜 성장한 실리콘 단결정층을 상기 실리콘 기판 표면의 일부를 덮는 게이트 측벽 절연막의 상면에 연장시킴으로써, 트랜지스터의 미세화에 관계없이 소스/드레인 영역 상의 실리사이드 면적을 확보하고, 또한, 표면에 시임이 형성되지 않도록 STI를 구성하여, STI의 미세화에 관계없이 STI의 소자 분리 특성을 유지하는 것을 특징으로 한다.
구체적으로는 본 발명의 반도체 장치는, 반도체 기판 상에 형성된 게이트 전극과, 게이트 전극의 양측에 형성된 소스/드레인 확산층과, 소스/드레인 확산층측의 게이트 전극 측벽 및 게이트 전극 근방의 반도체 기판 상면의 일부를 덮는 L자형/역 L자형 단면 형상의 게이트 측벽 절연막과, 적어도 소스/드레인 확산층 상에 형성되고, 게이트 전극 근방의 반도체 기판 상면의 일부를 덮는 게이트 측벽 절연막 상으로 연장하는 반도체층을 구비하는 것을 특징으로 한다.
바람직하게는 본 발명의 반도체 장치는, 소스/드레인 확산층의 외연부에 인접하고, 절연막이 매립된 트렌치로 이루어지는 매립 소자 분리 영역을 더 구비하는 것을 특징으로 한다. 상기 반도체층은 실리콘층으로 이루어지고, 실리콘층은 적어도 표면 부분에 형성된 실리사이드층을 구비하며, 또한, 상기 반도체층은 컨택트 플러그에 접속되는 것을 특징으로 한다.
바람직하게는 상기 매립 소자 분리 영역은, 반도체 기판 상면에 형성된 트렌치와, 트렌치의 내벽에 따라서 형성된 제1 매립 절연막과, 제1 매립 절연막을 덮도록 형성된 제2 매립 절연막으로 이루어지고, 제1 매립 절연막은 트렌치의 개구면으로부터 소정의 깊이까지 트렌치의 하방을 매립하도록 형성되고, 제2 매립 절연막은 트렌치의 상방에서 제1 매립 절연막을 덮도록 형성되는 것을 특징으로 한다.
또한, 상기 트렌치의 개구면으로부터의 소정의 깊이 값은, 상기 트렌치의 개구부의 최소 직경보다도 작은 것을 특징으로 한다.
본 발명의 반도체 장치의 제조 방법은, 반도체 기판 상에 게이트 절연막을 통해 게이트 전극을 형성하는 공정과, 게이트 전극을 마스크로 하여 이온 주입함으로써, 게이트 전극의 양측의 반도체 기판에 얕은 소스/드레인 확산층을 형성하는 공정과, 얕은 소스/드레인 확산층 형성 후의 반도체 기판 상에 제1 측벽 절연막을 형성하는 공정과, 제1 측벽 절연막 상에 다시 제2 측벽 절연막을 형성하는 공정과, 제1 측벽 절연막 및 제2 측벽 절연막으로 이루어지는 적층막을 에치백하는 공정과, 게이트 전극의 측벽부에 남겨진 제2 측벽 절연막을 제거함으로써, 게이트 전극 측벽부와 게이트 전극 근방에서의 반도체 기판 상면의 일부를 덮는 제1 측벽 절연막으로 이루어지는 L자형/역 L자형 단면 형상의 측벽 구조를 형성하는 공정과, 반도체 기판 상에 제1 측벽 절연막의 두께보다도 두꺼운 반도체층을 선택 에피택셜 성장함으로써, 반도체 기판 상면의 일부를 덮는 제1 측벽 절연막 상에 반도체층의 연장부를 형성하는 공정과, 측벽 구조를 구비하는 게이트 전극을 마스크로 하여 이온 주입함으로써 게이트 전극의 양측의 반도체 기판에 깊은 소스/드레인 확산층을 형성하는 공정을 포함하는 것을 특징으로 한다.
바람직하게는, 본 발명의 반도체 장치의 제조 방법은, 깊은 소스/드레인 확산층의 외연부에 인접하고, 절연막이 매립된 트렌치로 이루어지는 매립 소자 분리 영역을 형성하는 공정을 더 포함하고, 상기 매립 소자 분리 영역을 형성하는 공정은, 반도체 기판에 트렌치를 형성하는 공정과, 상기 트렌치의 내벽에 따라 상기 트렌치 폭의 중앙부에 시임을 포함하는 제1 절연막을 매립하는 공정과, 트렌치의 외부에 퇴적한 제1 절연막을 제거하여 평탄화하는 공정과, 제1 절연막을 에칭에 의해 더 후퇴시키는 공정과, 트렌치의 바닥부에 잔류하는 제1 절연막을 덮도록 제2 절연막을 매립하는 공정과, 트렌치의 외부에 퇴적한 제2 절연막을 제거하는 공정을 포함하는 것을 특징으로 한다.
이하, 도면을 참조하여 본 발명의 실시예를 상세히 설명한다.
도 1 및 도 2는, 본 발명의 제1 실시예에 따른 반도체 장치를 구성하는 엘레베이티트 소스/드레인 구조의 고성능 MOS 트랜지스터의 제조 공정을 나타내는 단면도이다.
도 1의 (a)에 도시한 바와 같이, p형 또는 n형 실리콘 기판(1)에 형성된 깊이 200㎚ 내지 350㎚의 셸로우 트렌치에 소자 분리 절연막(2)을 매립함으로써 STI를 형성한다. 도 1의 (a)에 도시한 STI 사이의 능동 소자부의 실리콘 기판(1)의 표면에, 두께 20㎚ 이하의 산화막을 형성하여 웰 영역(3) 및 채널 영역(4)을 형성하는 이온 주입을 행하고, RTA(Rapid thermal Annealing)를 이용하여 주입된 불순물의 활성화 열 처리를 행한다. 대표적인 이온 주입 조건은, n 웰 영역(3)에 대하여 인(P)을 가속 에너지 500keV, 도우즈량 3.0E13㎝-2, 채널 영역(4)에 대하여 붕소(B)를 가속 에너지 50keV, 도우즈량 1.5E13㎝-2로 주입하고, p 웰에 대하여 붕소(B)를 가속 에너지 260keV, 도우즈량 2.0E13㎝-2, p 웰 내의 채널 영역에 대하여 인(P)을 가속 에너지 130keV, 도우즈량 1.5E13㎝-2로 주입한다.
다음에, 기판 표면의 산화막을 제거한 후, 열 산화 또는 LPCVD(Low Pressure Chemical Vapor Deposition)법을 이용하여 두께 1.5㎚ 내지 6㎚의 게이트 산화막(5)을 형성하고, 그 위에 두께 100㎚ 내지 200㎚의 폴리실리콘을 퇴적하여, 광, X선 또는 전자 빔 리소그래피를 이용하여 게이트 길이 20㎚ 내지 150㎚의 게이트 전극의 패터닝을 행하고, RIE법을 이용하여 에칭함으로써 폴리실리콘(6)으로 이루어지는 게이트 전극을 형성한다. 게이트 절연막으로서는, SiO2으로 이루어지는 상기 게이트 산화막(5) 외에, SiON이나 SiN 또는, 예를 들면 Ta2O5와 같은 높은 유전체막을 이용할 수 있다. 또한, 폴리실리콘(6) 대신에 TiN, WN 등을 배리어 메탈로서 전도도가 높은 텅스텐(W) 등을 게이트 전극의 재료로 하는 메탈 게이트 구조를 이용하는 것도 가능하다.
다음에 후 산화로서 두께 2㎚ 내지 6㎚의 열 산화막을 형성하고, 게이트 전극을 마스크로 하여 게이트 전극의 양측에 얕은 소스/드레인 확산층(7)의 이온 주입을 행하여, RTA(Rapid thermal Annealing: 9)를 이용하여 주입된 불순물의 활성화 열 처리를 행한다. 대표적인 이온 주입 조건은, n형의 얕은 확산층에 대하여 비소(As)를 가속 에너지 1.0keV 내지 5.0keV, 도우즈량 5.0E14㎝-2 내지 1.0E14㎝-2로 주입하고, p형의 얕은 확산층에 대하여 BF2를 가속 에너지 1.0keV 내지 3.0keV, 도우즈량 5.0E14㎝-2 내지 1.0E14㎝-2로 주입한다.
다음에, 후 산화에 의한 열 산화막을 제거한 후, 게이트 산화막(5)을 통해 게이트 전극이 형성된 실리콘 기판(1)의 전면에 LPCVD법을 이용하여 실리콘 질화막(8)과 실리콘 산화막(9)을 퇴적하고, RIE법을 이용하여 실리콘 질화막(8)과 실리콘 산화막(9)으로 이루어지는 적층막을, 게이트 전극 상 및 실리콘 기판(1) 상의 적층막이 제거될 때까지 에치백함으로써, 도 1의 (b)에 도시한 바와 같이, 실리콘 질화막(8)과 실리콘 산화막(9)으로 이루어지는 게이트 측벽 절연막을 형성한다. 또, 실리콘 질화막(8)의 아래에도 실리콘 산화막이 형성되는 구조도 고려된다.
이 때, 얕은 소스/드레인 확산층(7)에서의 실리콘 기판(1)의 상면은, RIE의 처리에 노출되기 때문에, 손상을 입은 층이나 카본층이 실리콘 기판 중에 혼입된다. 그래서, 손상이 없는 실리콘 기판(1)의 표면을 얻기 위해 O2 RIE에 의한 실리콘 기판(1)의 표면 산화를 행한 후, 희불산에 의해 산화된 표면층을 제거한다.
계속해서, 희불산을 이용하여 실리콘 질화막(8)을 덮는 실리콘 산화막(9)을 제거하고, 도 1의 (c)에 도시한 바와 같이 L자형 및 좌우 반전한 역 L자형 단면 형상의 실리콘 질화막(8)으로 이루어지는 게이트 측벽 절연막을 형성한다. 그 후 자연 산화막 제거를 위해 수소 분위기 중에서 고온 처리를 행하고, 얕은 소스/드레인 확산층(7) 상에 노출한 실리콘 기판(1)의 표면에 실리콘층(10)을 선택적으로 에피택셜 성장시킨다.
에피택셜 성장 공정은, 800℃ 이상의 고온에서 수소 분위기 중에서 실리콘 기판 등을 가열하고, SiH4, SiH2Cl2, SiHCl3 등의 반응 가스를 수소와 함께 실리콘 기판 상에 공급하고, 실리콘층(10)을 얕은 소스/드레인 확산층(7) 상에 노출한 실리콘 기판(1)의 표면 상에, 혹은 게이트 전극의 상면에 노출한 폴리실리콘(6)의 상에, 선택적으로 성장시킴으로써 행해진다.
이 때, 폴리실리콘(6)으로 이루어지는 게이트 전극 상에 절연막을 씌워, 게이트 전극 상에는 실리콘층(10)이 성장하지 않도록 하고, 얕은 소스/드레인 확산층(7)의 상면에만 실리콘층(10)을 성장시킬 수도 있다. 실리콘층(10)의 선택 에피택셜 성장에 이용하는 장치로서는 종형, 배럴형, 클러스터형이 있으며, 가열 방식으로서는 저항 가열, 고주파 가열, 램프 가열 등이 있고, 또한 웨이퍼 처리 방식으로서는 매엽식(枚葉式), 배치식으로 분류되지만, 어느 것이나 본 발명에 적용시킬 수 있다.
제1 실시예에서, 도 1의 (c)에 도시한 바와 같이, 얕은 소스/드레인 확산층(7)의 상면에 성장하는 실리콘층(10)의 두께를 실리콘 질화막(8)으로 이루어지는 게이트 측벽 절연막의 두께보다도 두껍게 설정함으로써, 폴리실리콘(6)으로 이루어지는 게이트 전극 근방의 실리콘 기판 상면의 일부를 덮는 L자형 및 역 L자형 실리콘 질화막(8)의 상부에 상기 실리콘층(10)이 얹어지는 것 같은 형상으로 실리콘층(10)을 성장시킨다. 이 때 실리콘층(10)은, STI에 매립된 소자 분리 절연막(2)의 주변부에도 성장하기 때문에, 실리콘층(10)의 형성 영역의 면적을 확대할 수 있다.
다음에, 도 2의 (d)에 도시한 바와 같이, 실리콘 질화막(8)으로 이루어지는 게이트 측벽 절연막을 구비하는 게이트 전극을 마스크로 하여, 실리콘층(10) 상으로부터 이온 주입함으로써 깊은 고농도 소스/드레인 확산층(11)을 형성하고, 엘레베이티드 소스/드레인 구조를 형성할 수 있다. 또, 상기한 제조 공정에서, 깊은 고농도 소스/드레인 확산층(11)을 형성한 후에 실리콘층(10)을 성장하는 것도 가능하다.
여기서, 고농도 소스/드레인 확산층의 깊이와 게이트 측벽 절연막으로 마스크되는 게이트 전극 근방의 치수 사이에는 다음과 같은 관계가 있다. 즉, L자형 및 역 L자형 실리콘 질화막(8)으로 이루어지는 게이트 측벽 절연막은, 각각, 게이트 전극 근방에서의 반도체 기판 상면(소스/드레인 영역의 상면)의 일부를 덮도록 형성되지만, 이 때, 게이트 측벽 절연막으로 덮어지는 반도체 기판 상면의 게이트 길이 방향의 길이(L자형의 하변의 길이)를 SW, 깊은 고농도 소스/드레인 확산층(11)의 접합 깊이를 Xj라고 하면, MOS 트랜지스터의 쇼트 채널 효과를 억제하기 위해서는, Xj×0.4≤SW≤Xj×0.8의 범위로 SW를 설정하는 것이 바람직하다.
다음에, 도 2의 (e)에 도시한 바와 같이 실리사이드층(12)을 자기 정합적으로 형성한다. 예를 들면, Ti, Co, Ni 등의 금속막을 전면에 형성하고, 열 처리에 의해 실리콘층을 금속 실리사이드층(12)으로 변환하여, 그 후 미반응의 금속막을 제거함으로써, 전극부에서의 직렬 저항이 작은 엘레베이티드 소스/드레인 구조의 MOS 트랜지스터를 얻을 수 있다. 이 때, 게이트 전극 상에 실리사이드층(12)을 형성하는 대신에, 또한 게이트 저항을 저감시키기 위해 폴리실리콘(6) 상에 배리어 메탈로서 TiN 또는 WN을 형성하고, 그 위에 전도도가 높은 W을 퇴적한 폴리메탈 구조에 대해서도 본 발명을 적용시킬 수 있다.
다음에, 층간 절연막(도시하지 않음)으로서 TEOS, BPSG, SiN 등을 퇴적하고, CMP에 의해 표면을 평탄화한다. 다음에 레지스트 마스크와 RIE법을 이용하여 소스/드레인 영역의 실리사이드층(12)에 맞춰 컨택트홀을 형성하고, 컨택트홀의 내벽에 Ti, TiN 등의 배리어 메탈을 형성하며, 컨택트홀을 매립하도록 W을 블랭킷 또는 선택 성장하여 CMP함으로써, 배선과 소스/드레인 영역을 접속하는 컨택트 플러그를 형성한다. 마지막으로 배선용의 금속을 퇴적한 후, 배선의 패터닝을 행함으로써 제1 실시예에 따른 고성능의 반도체 장치가 완성된다.
제1 실시예의 반도체 장치는, MOS 트랜지스터가 미세화되더라도 소스/드레인 전극으로 되는 실리사이드화된 실리콘층(10)의 면적이 크기 때문에, 배선에 접속되는 컨택트홀의 마스크 정합의 여유도가 커서, 기생 저항이 낮은 반도체 장치를 용이하게 실현할 수 있다. 또, 이상의 설명에서, 실리콘층(10)은 소스/드레인 영역에 노출된 실리콘 기판(1) 상에서는 단결정화하지만, 실리콘 질화막(8), 소자 분리 절연막(2) 및 폴리실리콘(6) 상에서는 반드시 단결정화하는 것만이 아니라, 다결정화하는 경우도 있다. 제1 실시예에서, 실리콘층(10)의 일부가 다결정화하는 것이 특별히 문제가 되는 경우는 없다.
다음에, 도 3 내지 도 5를 참조하여, 본 발명의 제2 실시예에 따른 반도체 장치의 STI 구조와 그 제조 공정에 대하여 설명한다.
도 3은 STI에서의 매립 소자 분리 절연막과 그 주변부의 구조를 나타내는 단면도이다. 도 3에 도시한 구조는 실리콘 기판(1)과, 폴리실리콘(6) 등으로 이루어지는 게이트 전극과, 예를 들면 실리콘 산화막(9)으로 이루어지는 게이트 측벽 절연막과, 소스/드레인 영역(도시하지 않음) 및 게이트 전극의 상에 형성된 실리콘층(10)과, STI를 형성하는 트렌치의 바닥부에 매립된 제1 소자 분리 절연막(16)과, 트렌치에서 제1 소자 분리 절연막(16)의 내부에 생긴 시임(17)과, 트렌치의 개구부 상방에 매립된 시임을 포함하지 않는 제2 소자 분리 절연막(18)으로 구성된다. 또, 제1 소자 분리 절연막(16)은 STI의 분리 폭이나 에칭량에 의해서는 시임을 포함하지 않는 경우도 있다.
제2 실시예에서는, STI를 매립하는 제1, 제2 소자 분리 절연막(16, 18)의 구성 및 실리콘층(10)을 실리콘 기판(1)의 표면에 에피택셜 성장할 때의 STI 표면의 면 선택성에 대하여 설명하기 때문에, 도면을 쉽게 보기 위해 STI로 분리되는 트랜지스터의 구조는 간략화되어 있다.
앞에서 도 7의 (b)를 이용하여 설명한 바와 같이, 미세화에 의해 STI의 분리 폭이 작아지면, STI의 길이 방향에 따라 분리 폭의 중앙부에 소자 분리 절연막의 시임이 발생하고, 시임을 성장핵으로서 실리콘의 결정립이 생겨, 소자 분리 특성이 악화된다. 그러나, 도 3에 도시한 바와 같이, 제2 실시예에 따른 STI는 트렌치의 개구부가 시임을 포함하지 않는 평탄한 제2 소자 분리 절연막(18)으로 덮여져 있기 때문에, 실리콘층(10)의 선택 에피택셜 성장 시에, 주변부에는 실리콘 기판(1)의 표면으로부터 성장한 실리콘층(10)이 얹어지는 것처럼 성장하지만, 분리 폭의 중앙부에는 결정립이 발생하지 않고, 양호한 STI의 분리 특성을 확보할 수 있다.
다음에 도 4, 도 5를 이용하여 제2 실시예에 따른 STI의 제조 공정에 대하여 설명한다.
도 4의 (a)에 도시한 바와 같이, p형 또는 n형실리콘 기판(1)에 두께 6 ㎚ 내지 10 ㎚의 실리콘 산화막(도시하지 않음)을 형성하여, 계속해서 LPCVD 법을 이용하여 두께 100㎚ 내지 150㎚의 실리콘 질화막(13), 및 두께 100㎚ 내지 150㎚의 실리콘 산화막(14)을 형성한다. 다음에 광 리소그래피와 RIE 에칭을 이용하여 STI의 트렌치(15)를 형성하고, 온도 1000℃의 산소, 질소 중에서 두께 13㎚ 내지 15㎚의 표면 산화를 행한다. 이 표면 산화에 의해 STI 구조의 엣지 부분이 라운딩되고, 반도체 장치의 동작 시에서 엣지 부분의 전계 집중이 완화되는 효과가 있다.
다음에, 도 4의 (b)에 도시한 바와 같이, LPCVD법, 또는 PECVD(Plasma Enhanced Chemical Vapor Deposition)법을 이용하여 SiO2, 또는 O3-TEOS 등으로 이루어지는 제1 소자 분리 절연막(16)을 트렌치(15)를 덮도록 퇴적한다. 이 때, 반도체 장치의 미세화에 의해 트렌치(15)의 개구 폭이 작아지고, 트렌치(15)의 깊이와 개구 폭의 비를 제공하는 어스펙트비가 커지면, 트렌치의 내벽으로부터 성장한 제1 소자 분리 절연막(16)의 표면끼리가 분리 폭의 중앙에서 상호 접하게 됨으로써, 외관 상 트렌치(15)의 내부에서 제1 소자 분리 절연막이 폴딩된 것처럼 퇴적한다.
다음에, CMP법에 의해 표면을 평탄화하여, 도 4의 (c)에 도시한 바와 같이 웨트 에칭에 의해 실리콘 산화막(14)을 제거한다. 그러나, 도 4의 (b)의 공정에서 제1 소자 분리 절연막(16)에 발생된 표면끼리의 접합부는, 이 평탄화 제거 공정으로 제거할 수 없고, 도 4의 (c)에 도시한 바와 같이, 트렌치(15)에 매립된 제1 소자 분리 절연막(16)의 중앙부에 시임(17)으로서 잔류한다. 특히 STI의 분리 폭이 작을 때에 현저해진다. 앞에서 말한 것처럼, 시임(17)은 실리콘층(10)의 선택 에피택셜 성장 공정에서 실리콘 결정립의 성장핵으로 되어, 선택 붕괴가 생기는 원인이 되므로, 계속해서, 시임(17)이 소자 분리 절연막의 표면에 나타나지 않도록 이하의 처리를 한다.
즉, 도 4의 (c)와 같이 일단 트렌치(15)에 매립된 SiO2 또는 O3-TEOS 등으로 이루어지는 제1 소자 분리 절연막(16)의 표면을 희불산으로 에칭하고, 약 100㎚ 후퇴시킨다. 제1 소자 분리 절연막(16)의 표면 에칭에는 RIE법을 이용하는 것도 가능하다. 다음에, 도 5의 (d)에 도시한 바와 같이, 제1 소자 분리 절연막(16)의 표면을 후퇴시킴으로써 트렌치(15)의 개구부에 생긴 홈을 SiO2 또는 O3-TEOS로 이루어지는 제2 소자 분리 절연막(18)을 이용하여 피복한다. 이 때, 홈의 어스펙트비는, 도 4의 (a)에 도시한 트렌치(15)의 어스펙트비보다 작기 때문에, STI의 분리 폭이 작더라도 홈에 대한 제2 소자 분리 절연막(18)의 매립성은 양호해진다.
이와 같이, 제1 소자 분리 절연막(16)의 표면을 트렌치의 개구면으로부터 후퇴시킴으로써 개구부에 생기는 홈의 깊이가 상기 트렌치의 개구부의 최소 직경(STI의 분리 폭)보다 작으면, 홈에 대한 제2 소자 분리 절연막(18)의 매립성은 양호해지고, 홈을 매립하는 제2 소자 분리 절연막(18)은 많은 절연막의 종류와 막질 및 성장 조건에 대하여 중앙부에 시임을 포함하지 않도록 할 수 있다.
다음에, 도 5의 (e)에 도시한 바와 같이, 실리콘 질화막(13)을 스토퍼로서 표면을 CMP하고, 제2 소자 분리 절연막(18)의 표면을 평탄화하면, 시임(17)이 표면에 나타나지 않도록 할 수 있다. 마지막으로, 도 5의 (f)에 도시한 바와 같이, 실리콘 질화막(13)을 에칭으로 제거하면, 표면에 시임(17)이 존재하지 않는 STI 구조가 형성된다. 그 후, 제1 실시예에서 설명한 제조 공정을 이용하여 CMOS 회로로 이루어지는 반도체 장치를 형성하면, 엘레베이티드 소스/드레인 구조의 형성에 필요한 실리콘층의 선택 에피택셜 성장 과정에서, 선택 붕괴에 의해 생기는 소자 분리 특성의 불량을 회피할 수 있다.
또 본 발명은 상기한 실시예에 한정되지는 않는다. 예를 들면 제2 실시예에서, 제1 소자 분리 절연막의 내부에 생긴 시임이 잔류하지 않을 정도까지 그 표면을 후퇴시켜도 무방하다. 이 경우, 도 3에 도시한 구조에 대하여, 제1 소자 분리 절연막 내부의 시임이 제거된 STI 구조로 되지만, 제2 소자 분리 절연막을 제1 소자 분리 절연막의 상방의 트렌치에 매립할 때, 제2 소자 분리 절연막 표면에 시임이 나타나지 않을 정도로 홈의 어스펙트비를 작게할 수 있으면, 얻어지는 STI 구조의 소자 분리 특성에 특별히 문제는 생기지 않는다. 그 밖에 본 발명의 요지를 일탈하지 않는 범위에서, 다양하게 변형하여 실시할 수 있다.
상술한 바와 같이 본 발명의 반도체 장치에 따르면, 스케일링에 의한 트랜지스터 구조의 미세화에 관계없이, 소스/드레인 영역 상의 컨택트 면적이 확보되기 때문에, 컨택트홀에 의한 배선과의 접속이 용이해져서, MOS 트랜지스터의 직렬 저항을 저감시킬 수 있다. 또한, STI의 미세화에 관계없이 소자 분리 절연막의 표면과 소스/드레인 확산층 상의 기판 표면 사이에서 높은 면 선택성이 확보되기 때문에, 소자 분리 특성이 양호한 고성능 MOS 트랜지스터로 이루어지는 반도체 장치를 제공하는 것이 가능하게 된다.
도 1은 제1 실시예에 따른 반도체 장치의 제조 공정을 나타내는 단면도.
도 2는 제1 실시예에 따른 반도체 장치의 제조 공정의 계속을 나타내는 단면도.
도 3은 제2 실시예에 따른 STI의 구조를 나타내는 단면도.
도 4는 제2 실시예에 따른 STI의 제조 공정을 나타내는 단면도.
도 5는 제2 실시예에 따른 STI의 제조 공정의 계속을 나타내는 단면도.
도 6은 종래의 엘레베이티드·소스/드레인 MOS 트랜지스터의 구조와 문제점을 설명하는 단면도.
도 7은 종래의 STI의 구조와 문제점을 설명하는 단면도.
〈도면의 주요 부분에 대한 부호의 설명〉
1, 101 : 실리콘 기판
2, 102, 116 : 소자 분리 절연막
3 : 웰
4 : 채널
5, 105 : 게이트 절연막
6, 106 : 폴리실리콘
7, 107 : 얕은 소스/드레인 확산층
8, 13 : 실리콘 질화막
9, 14, 109 : 실리콘 산화막
10, 110 : 실리콘층
110a : 실리콘 결정립
11, 111 : 고농도의 깊은 소스/드레인 확산층
12, 112 : 금속 실리사이드
15 : 트렌치
16 : 제1 소자 분리 절연막
17, 117 : 시임
18 : 제2 소자 분리 절연막
118 : 층간 절연막
119 : 컨택트홀
Claims (9)
- 반도체 장치에 있어서,반도체 기판 상에 형성된 게이트 전극과,상기 게이트 전극의 양측에 형성된 소스/드레인 확산층과,상기 소스/드레인 확산층측의 상기 게이트 전극 측벽 및 상기 게이트 전극 근방의 상기 반도체 기판 상면의 일부를 덮는 L자형/역 L자형 단면 형상의 게이트 측벽 절연막과,적어도 상기 소스/드레인 확산층 상에 형성되고, 상기 게이트 전극 근방의 상기 반도체 기판 상면의 일부를 덮는 상기 게이트 측벽 절연막 상으로 연장되는 반도체층을 포함하는 것을 특징으로 하는 반도체 장치.
- 제1항에 있어서,상기 소스/드레인 확산층의 외연부(外緣部)에 인접하고, 절연막이 매립된 트렌치로 이루어지는 매립 소자 분리 영역을 더 포함하는 것을 특징으로 하는 반도체 장치.
- 제1항 또는 제2항에 있어서,상기 반도체층은 실리콘층으로 이루어지고, 상기 실리콘층은 적어도 표면 부분에 형성된 실리사이드층을 포함하는 것을 특징으로 하는 반도체 장치.
- 제1항에 있어서,상기 반도체층은 컨택트 플러그에 접속되는 것을 특징으로 하는 반도체 장치.
- 제2항에 있어서,상기 매립 소자 분리 영역은,반도체 기판 상면에 형성된 트렌치와,상기 트렌치의 내벽에 따라 형성된 제1 매립 절연막과,상기 제1 매립 절연막을 덮도록 형성된 제2 매립 절연막을 포함하고,상기 제1 매립 절연막은 상기 트렌치의 개구면으로부터 소정의 깊이까지 상기 트렌치의 하방을 매립하도록 형성되며, 상기 제2 매립 절연막은 상기 트렌치의 상방에서 상기 제1 매립 절연막을 덮도록 형성되는 것을 특징으로 하는 반도체 장치.
- 삭제
- 제5항에 있어서,상기 트렌치의 개구면으로부터의 소정의 깊이 값은, 상기 트렌치의 개구부의 최소 직경보다도 작은 것을 특징으로 하는 반도체 장치.
- 반도체 장치의 제조 방법에 있어서,반도체 기판 상에 게이트 절연막을 통해 게이트 전극을 형성하는 공정과,상기 게이트 전극을 마스크로 하여 이온 주입함으로써, 상기 게이트 전극의 양측의 반도체 기판에 얕은 소스/드레인 확산층을 형성하는 공정과,상기 얕은 소스/드레인 확산층 형성 후의 반도체 기판 상에 제1 측벽 절연막을 형성하는 공정과,상기 제1 측벽 절연막 상에 제2 측벽 절연막을 더 형성하는 공정과,상기 제1 측벽 절연막 및 제2 측벽 절연막으로 이루어지는 적층막을 에치백하는 공정과,상기 게이트 전극의 측벽부에 남겨진 상기 제2 측벽 절연막을 제거함으로써, 상기 게이트 전극 측벽부와 상기 게이트 전극 근방에서의 반도체 기판 상면의 일부를 덮는 상기 제1 측벽 절연막으로 이루어지는 L자형/역 L자형 단면 형상의 측벽 구조를 형성하는 공정과,상기 반도체 기판 상에 상기 제1 측벽 절연막의 두께보다도 두꺼운 반도체층을 선택 에피택셜 성장함으로써, 상기 반도체 기판 상면의 일부를 덮는 상기 제1 측벽 절연막 상에 상기 반도체층의 연장부를 형성하는 공정과,상기 측벽 구조를 구비하는 게이트 전극을 마스크로 하여 이온 주입함으로써 상기 게이트 전극의 양측의 반도체 기판에 깊은 소스/드레인 확산층을 형성하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
- 제8항에 있어서,상기 깊은 소스/드레인 확산층의 외연부에 인접하고, 절연막이 매립된 트렌치로 이루어지는 매립 소자 분리 영역을 형성하는 공정을 더 포함하며,상기 매립 소자 분리 영역을 형성하는 공정은,반도체 기판에 트렌치를 형성하는 공정과,상기 트렌치의 내벽을 따라 상기 트렌치 폭의 중앙부에 시임(seam)을 포함하는 제1 절연막을 매립하는 공정과,상기 트렌치의 외부에 퇴적한 상기 제1 절연막을 제거하여 평탄화하는 공정과,상기 제1 절연막을 에칭에 의해 더 후퇴시키는 공정과,상기 트렌치의 바닥부에 잔류하는 상기 제1 절연막을 덮도록 제2 절연막을 매립하는 공정과,상기 트렌치의 외부에 퇴적한 상기 제2 절연막을 제거하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JPJP-P-2000-00397293 | 2000-12-27 | ||
JP2000397293A JP2002198525A (ja) | 2000-12-27 | 2000-12-27 | 半導体装置及びその製造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20020053751A KR20020053751A (ko) | 2002-07-05 |
KR100481657B1 true KR100481657B1 (ko) | 2005-04-08 |
Family
ID=18862435
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR10-2001-0085003A KR100481657B1 (ko) | 2000-12-27 | 2001-12-26 | 반도체 장치 및 그 제조 방법 |
Country Status (5)
Country | Link |
---|---|
US (4) | US6573583B2 (ko) |
JP (1) | JP2002198525A (ko) |
KR (1) | KR100481657B1 (ko) |
CN (1) | CN1210811C (ko) |
TW (1) | TW521425B (ko) |
Families Citing this family (67)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8026161B2 (en) | 2001-08-30 | 2011-09-27 | Micron Technology, Inc. | Highly reliable amorphous high-K gate oxide ZrO2 |
US6781189B2 (en) * | 2002-01-22 | 2004-08-24 | Micron Technology, Inc. | Floating gate transistor with STI |
KR100476922B1 (ko) * | 2002-05-31 | 2005-03-17 | 삼성전자주식회사 | 반도체 장치의 게이트 패턴 형성 방법 |
JP3914114B2 (ja) * | 2002-08-12 | 2007-05-16 | 株式会社東芝 | 半導体装置およびその製造方法 |
KR100523839B1 (ko) * | 2002-10-07 | 2005-10-27 | 한국전자통신연구원 | 건식 리소그라피 방법 및 이를 이용한 게이트 패턴 형성방법 |
CN1286157C (zh) * | 2002-10-10 | 2006-11-22 | 松下电器产业株式会社 | 半导体装置及其制造方法 |
US7101813B2 (en) | 2002-12-04 | 2006-09-05 | Micron Technology Inc. | Atomic layer deposited Zr-Sn-Ti-O films |
US6958302B2 (en) | 2002-12-04 | 2005-10-25 | Micron Technology, Inc. | Atomic layer deposited Zr-Sn-Ti-O films using TiI4 |
KR100517555B1 (ko) * | 2003-01-02 | 2005-09-28 | 삼성전자주식회사 | 살리사이드층을 포함하는 반도체 소자 및 그 제조방법 |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US7132338B2 (en) * | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
US7247534B2 (en) * | 2003-11-19 | 2007-07-24 | International Business Machines Corporation | Silicon device on Si:C-OI and SGOI and method of manufacture |
KR100567879B1 (ko) * | 2003-12-12 | 2006-04-04 | 동부아남반도체 주식회사 | 살리사이드를 갖는 반도체 소자 제조 방법 |
US7112513B2 (en) * | 2004-02-19 | 2006-09-26 | Micron Technology, Inc. | Sub-micron space liner and densification process |
US7078302B2 (en) * | 2004-02-23 | 2006-07-18 | Applied Materials, Inc. | Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal |
KR100554828B1 (ko) * | 2004-04-08 | 2006-02-22 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 형성 방법 |
KR101068140B1 (ko) * | 2004-05-12 | 2011-09-27 | 매그나칩 반도체 유한회사 | 반도체 소자의 제조방법 |
US7175709B2 (en) * | 2004-05-17 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxy layer and method of forming the same |
US7271464B2 (en) * | 2004-08-24 | 2007-09-18 | Micron Technology, Inc. | Liner for shallow trench isolation |
US7081421B2 (en) | 2004-08-26 | 2006-07-25 | Micron Technology, Inc. | Lanthanide oxide dielectric layer |
FR2876220B1 (fr) * | 2004-10-06 | 2007-09-28 | Commissariat Energie Atomique | Procede d'elaboration de structures empilees mixtes, a zones isolantes diverses et/ou zones de conduction electrique verticale localisees. |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7271463B2 (en) * | 2004-12-10 | 2007-09-18 | Micron Technology, Inc. | Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base |
KR100571419B1 (ko) * | 2004-12-23 | 2006-04-14 | 동부아남반도체 주식회사 | 얕은 트렌치 분리막을 갖는 반도체 소자 및 그 제조 방법 |
US7663164B2 (en) * | 2005-01-26 | 2010-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced leakage protection diode |
US7687383B2 (en) * | 2005-02-04 | 2010-03-30 | Asm America, Inc. | Methods of depositing electrically active doped crystalline Si-containing films |
DE102005013982A1 (de) * | 2005-03-26 | 2006-10-05 | Atmel Germany Gmbh | Verfahren zur Herstellung eines Bipolartransistors und nach einem derartigen Verfahren hergestellter Bipolartransistor |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
KR101229526B1 (ko) * | 2005-04-29 | 2013-02-04 | 어드밴스드 마이크로 디바이시즈, 인코포레이티드 | 개선된 스트레스 전달 효율을 가지는 컨택 절연층 형성 기술 |
DE102005020133B4 (de) * | 2005-04-29 | 2012-03-29 | Advanced Micro Devices, Inc. | Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US8110469B2 (en) | 2005-08-30 | 2012-02-07 | Micron Technology, Inc. | Graded dielectric layers |
TW200713455A (en) * | 2005-09-20 | 2007-04-01 | Applied Materials Inc | Method to form a device on a SOI substrate |
JP4575274B2 (ja) * | 2005-10-31 | 2010-11-04 | 富士通セミコンダクター株式会社 | パターンレイアウト、レイアウトデータの生成方法及び半導体装置 |
US7759206B2 (en) * | 2005-11-29 | 2010-07-20 | International Business Machines Corporation | Methods of forming semiconductor devices using embedded L-shape spacers |
US7800184B2 (en) | 2006-01-09 | 2010-09-21 | International Business Machines Corporation | Integrated circuit structures with silicon germanium film incorporated as local interconnect and/or contact |
JP2007221106A (ja) * | 2006-01-19 | 2007-08-30 | Toshiba Corp | Nand型半導体記憶装置及びその製造方法 |
FR2897982B1 (fr) | 2006-02-27 | 2008-07-11 | Tracit Technologies Sa | Procede de fabrication des structures de type partiellement soi, comportant des zones reliant une couche superficielle et un substrat |
US8809907B2 (en) | 2006-03-14 | 2014-08-19 | Northrop Grumman Systems Corporation | Leakage barrier for GaN based HEMT active device |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
JP5090451B2 (ja) | 2006-07-31 | 2012-12-05 | アプライド マテリアルズ インコーポレイテッド | 炭素含有シリコンエピタキシャル層の形成方法 |
JP2008066548A (ja) * | 2006-09-08 | 2008-03-21 | Sony Corp | 半導体装置および半導体装置の製造方法 |
FR2910702B1 (fr) * | 2006-12-26 | 2009-04-03 | Soitec Silicon On Insulator | Procede de fabrication d'un substrat mixte |
US7880241B2 (en) * | 2007-02-23 | 2011-02-01 | International Business Machines Corporation | Low-temperature electrically activated gate electrode and method of fabricating same |
US20080227267A1 (en) * | 2007-03-14 | 2008-09-18 | Theodorus Gerardus Maria Oosterlaken | Stop mechanism for trench reshaping process |
KR100858883B1 (ko) * | 2007-03-16 | 2008-09-17 | 주식회사 하이닉스반도체 | 반도체 소자의 트랜지스터 및 그 제조 방법 |
JP4503627B2 (ja) * | 2007-03-29 | 2010-07-14 | Okiセミコンダクタ株式会社 | 半導体装置及びその製造方法 |
EP2191497A2 (en) * | 2007-09-05 | 2010-06-02 | Nxp B.V. | A transistor and a method of manufacturing the same |
WO2009031085A1 (en) * | 2007-09-05 | 2009-03-12 | Nxp B.V. | A transistor and a method of manufacturing the same |
JP5178103B2 (ja) * | 2007-09-12 | 2013-04-10 | 株式会社東芝 | 半導体装置およびその製造方法 |
US7759199B2 (en) * | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
US8101497B2 (en) | 2008-09-11 | 2012-01-24 | Micron Technology, Inc. | Self-aligned trench formation |
JP4728378B2 (ja) * | 2008-11-10 | 2011-07-20 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
CN101937848B (zh) * | 2009-07-03 | 2012-06-06 | 中芯国际集成电路制造(上海)有限公司 | Mos晶体管及其制作方法 |
US8367528B2 (en) | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
JP5944285B2 (ja) | 2012-09-18 | 2016-07-05 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US9082654B2 (en) * | 2013-05-30 | 2015-07-14 | Rohm Co., Ltd. | Method of manufacturing non-volatile memory cell with simplified step of forming floating gate |
US9099423B2 (en) | 2013-07-12 | 2015-08-04 | Asm Ip Holding B.V. | Doped semiconductor films and processing |
CN104517851A (zh) * | 2013-09-30 | 2015-04-15 | 中芯国际集成电路制造(上海)有限公司 | Pmos器件及其制作方法 |
JP5902868B1 (ja) | 2014-06-16 | 2016-04-13 | ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. | 半導体装置の製造方法、及び、半導体装置 |
CN105448916B (zh) * | 2014-08-29 | 2018-10-16 | 中芯国际集成电路制造(上海)有限公司 | 晶体管及其形成方法 |
US9601565B2 (en) | 2014-12-22 | 2017-03-21 | Globalfoundries Inc. | Zig-zag trench structure to prevent aspect ratio trapping defect escape |
JP6211637B2 (ja) * | 2016-02-01 | 2017-10-11 | ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. | 半導体装置の製造方法、及び、半導体装置 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR970054360A (ko) * | 1995-12-06 | 1997-07-31 | 김주용 | 반도체소자의 트랜지스터 형성방법 |
JP2000049348A (ja) * | 1998-05-29 | 2000-02-18 | Toshiba Corp | エレベ―テッドソ―ス・ドレイン構造を有する半導体装置及びその製造方法 |
JP2000223703A (ja) * | 1999-01-29 | 2000-08-11 | Toshiba Corp | 半導体装置及びその製造方法 |
KR20000060649A (ko) * | 1999-03-18 | 2000-10-16 | 김영환 | 반도체장치 및 그의 제조방법 |
KR20010001735A (ko) * | 1999-06-08 | 2001-01-05 | 윤종용 | 트랜치형 소자분리막을 구비하는 반도체 장치 및 그 제조방법 |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS58143548A (ja) | 1982-02-22 | 1983-08-26 | Toshiba Corp | 半導体装置の製造方法 |
JPS5958837A (ja) | 1982-09-29 | 1984-04-04 | Fujitsu Ltd | 半導体装置の製造方法 |
JPS63197355A (ja) | 1987-02-12 | 1988-08-16 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
US4791073A (en) | 1987-11-17 | 1988-12-13 | Motorola Inc. | Trench isolation method for semiconductor devices |
US5702986A (en) * | 1995-12-05 | 1997-12-30 | Micron Technology, Inc. | Low-stress method of fabricating field-effect transistors having silicon nitride spacers on gate electrode edges |
JPH09252049A (ja) | 1996-03-15 | 1997-09-22 | Mitsubishi Electric Corp | 多層埋め込みトレンチアイソレーション |
JP2894283B2 (ja) | 1996-06-27 | 1999-05-24 | 日本電気株式会社 | 半導体装置の製造方法 |
US5739066A (en) * | 1996-09-17 | 1998-04-14 | Micron Technology, Inc. | Semiconductor processing methods of forming a conductive gate and line |
JP2000012678A (ja) * | 1998-06-22 | 2000-01-14 | Mitsubishi Electric Corp | 半導体装置の構造及び製造方法 |
US6180472B1 (en) * | 1998-07-28 | 2001-01-30 | Matsushita Electrons Corporation | Method for fabricating semiconductor device |
JP2000252462A (ja) | 1999-03-01 | 2000-09-14 | Toshiba Corp | Mis型半導体装置及びその製造方法 |
JP2000269495A (ja) | 1999-03-18 | 2000-09-29 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2000332099A (ja) | 1999-05-21 | 2000-11-30 | Matsushita Electronics Industry Corp | 半導体装置およびその製造方法 |
US6391732B1 (en) * | 2000-06-16 | 2002-05-21 | Chartered Semiconductor Manufacturing Ltd. | Method to form self-aligned, L-shaped sidewall spacers |
-
2000
- 2000-12-27 JP JP2000397293A patent/JP2002198525A/ja not_active Abandoned
-
2001
- 2001-11-13 TW TW090128065A patent/TW521425B/zh not_active IP Right Cessation
- 2001-12-19 US US10/020,940 patent/US6573583B2/en not_active Expired - Fee Related
- 2001-12-26 KR KR10-2001-0085003A patent/KR100481657B1/ko not_active IP Right Cessation
- 2001-12-27 CN CNB011439459A patent/CN1210811C/zh not_active Expired - Fee Related
-
2003
- 2003-04-25 US US10/422,805 patent/US6956276B2/en not_active Expired - Fee Related
-
2004
- 2004-06-25 US US10/875,310 patent/US6881633B2/en not_active Expired - Fee Related
-
2005
- 2005-03-28 US US11/090,101 patent/US20050167765A1/en not_active Abandoned
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR970054360A (ko) * | 1995-12-06 | 1997-07-31 | 김주용 | 반도체소자의 트랜지스터 형성방법 |
JP2000049348A (ja) * | 1998-05-29 | 2000-02-18 | Toshiba Corp | エレベ―テッドソ―ス・ドレイン構造を有する半導体装置及びその製造方法 |
JP2000223703A (ja) * | 1999-01-29 | 2000-08-11 | Toshiba Corp | 半導体装置及びその製造方法 |
KR20000060649A (ko) * | 1999-03-18 | 2000-10-16 | 김영환 | 반도체장치 및 그의 제조방법 |
KR20010001735A (ko) * | 1999-06-08 | 2001-01-05 | 윤종용 | 트랜치형 소자분리막을 구비하는 반도체 장치 및 그 제조방법 |
Also Published As
Publication number | Publication date |
---|---|
US6956276B2 (en) | 2005-10-18 |
US20050167765A1 (en) | 2005-08-04 |
CN1362745A (zh) | 2002-08-07 |
US6573583B2 (en) | 2003-06-03 |
TW521425B (en) | 2003-02-21 |
KR20020053751A (ko) | 2002-07-05 |
CN1210811C (zh) | 2005-07-13 |
US6881633B2 (en) | 2005-04-19 |
US20020079551A1 (en) | 2002-06-27 |
JP2002198525A (ja) | 2002-07-12 |
US20030205774A1 (en) | 2003-11-06 |
US20040235229A1 (en) | 2004-11-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100481657B1 (ko) | 반도체 장치 및 그 제조 방법 | |
US6388296B1 (en) | CMOS self-aligned strapped interconnection | |
US6335251B2 (en) | Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor | |
US6875665B2 (en) | Method of manufacturing a semiconductor device | |
KR100282452B1 (ko) | 반도체 소자 및 그의 제조 방법 | |
US5777370A (en) | Trench isolation of field effect transistors | |
US8263444B2 (en) | Methods of forming semiconductor-on-insulating (SOI) field effect transistors with body contacts | |
JP5234886B2 (ja) | 半導体装置の製造方法 | |
JP2006032958A (ja) | 低および高電圧トランジスタを備える半導体デバイスのための方法および装置 | |
US6815300B2 (en) | Method for manufacturing semiconductor device having increased effective channel length | |
US6545317B2 (en) | Semiconductor device having a gate electrode with a sidewall insulating film and manufacturing method thereof | |
JP3205306B2 (ja) | 半導体装置およびその製造方法 | |
US6483148B2 (en) | Self-aligned elevated transistor | |
US6639282B2 (en) | Semiconductor device on silicon-on-insulator and method for manufacturing the semiconductor device | |
US5843826A (en) | Deep submicron MOSFET device | |
US20010018243A1 (en) | Method for fabricating a semiconductor device | |
US7521767B2 (en) | MOS transistor in a semiconductor device | |
US20020013016A1 (en) | Method for fabricating semiconductor device | |
JP3285855B2 (ja) | 半導体装置およびその製造方法 | |
KR100485172B1 (ko) | 반도체 소자 및 이의 제조 방법 | |
JP2005252033A (ja) | 半導体装置およびその製造方法 | |
KR20010106711A (ko) | 반도체 소자의 콘택 형성 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
J201 | Request for trial against refusal decision | ||
AMND | Amendment | ||
B701 | Decision to grant | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20120302 Year of fee payment: 8 |
|
LAPS | Lapse due to unpaid annual fee |