KR100361130B1 - 기판 처리 장치 및 기판 처리 방법 - Google Patents

기판 처리 장치 및 기판 처리 방법 Download PDF

Info

Publication number
KR100361130B1
KR100361130B1 KR1020000003664A KR20000003664A KR100361130B1 KR 100361130 B1 KR100361130 B1 KR 100361130B1 KR 1020000003664 A KR1020000003664 A KR 1020000003664A KR 20000003664 A KR20000003664 A KR 20000003664A KR 100361130 B1 KR100361130 B1 KR 100361130B1
Authority
KR
South Korea
Prior art keywords
storage container
storage
foup
substrate processing
container
Prior art date
Application number
KR1020000003664A
Other languages
English (en)
Other versions
KR20000053623A (ko
Inventor
하야시아키나리
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20000053623A publication Critical patent/KR20000053623A/ko
Application granted granted Critical
Publication of KR100361130B1 publication Critical patent/KR100361130B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 회전수납선반에 소정수의 수납용기를 효율 좋게 수납시켜, 장치전체를 대형화하지 않고 수납용기의 각종 반송방식에 대응할 수 있게 한 것이다.
피처리기판이 실린 수납용기(13)와, 수납용기를 다수 수납하여 회전가능한 회전수납선반(36)과, 회전수납선반으로 수납용기를 반송하는 반송기(22)를 구비한 기판처리장치에서, 다수의 수납용기는 회전수납선반의 회전중심을 지나는 방사중심선상에 배치되고, 회전수납선반에 배치된 수납용기의 방향은 방사중심선에 대해 필요한 각도로 오프셋하여 회전수납선반에 빈 공간이 가능한 한 발생하지 않도록 수납용기를 회전수납선반에 효율 좋게 수납한다.

Description

기판처리장치 및 기판처리방법{Substrate processing apparatus and substrate processing method}
본 발명은 기판처리장치 및 기판처리방법에 관한 것으로, 특히 실리콘 웨이퍼 등의 기판에 반도체 소자를 형성하기 위한 성막 등의 각종 공정처리를 하는 기판처리장치 및 기판처리방법에 관한 것이다.
기판처리장치에는 기판을 1장 또는 다수매씩 처리하는 매엽식(枚葉式)의 것과, 한번에 다수의 기판을 처리하는 배치(batch)식의 것이 있다. 배치식의 기판처리장치에서는 통상적으로, 기판은 개방형 수납용기인 기판카세트 또는 밀폐형 수용용기인 FOUP(Front Opening Unified Pod)에 25장씩 실린 상태에서 장치내로 반송되어, 한번의 공정처리(이하, 1배치라고 한다)로 100장의 웨이퍼가 처리된다. 이 경우, 수율을 향상시키기 위해 장치내에는 제품용 웨이퍼 외에 더미 웨이퍼, 모니터 웨이퍼, 필더미(fill dummy) 웨이퍼 등을 포함하여 2배치분 합계 14개의 수납용기가 장치내에 수납된다.
반도체 장치 등의 제조공장에서는, 반도체 웨이퍼 등의 기판을 처리하는 장치는 통상적으로 클린 룸 내에 설치된다. 이 경우에 장치의 점유바닥면적은 작을수록 바람직하다. 그러나, 상기와 같은 많은 기판수납용기를 수납하는 기판처리장치는 점유바닥면적이 커서, 그 면적을 작게하는 것이 요구되고 있었다.
따라서, 본 발명의 주요한 목적은 반도체 웨이퍼 등이 실린 수납용기를 다수 수납하는 기판처리장치에서, 점유바닥면적을 작게할 수 있는 기판처리장치 및 기판처리방법을 제공하는 데에 있다.
도 1은 본 발명의 제1 실시형태의 기판처리장치를 도시한 개략 사시도,
도 2는 본 발명의 제1 실시형태의 기판처리장치를 도시한 개략 종단면도,
도 3은 제1 실시형태의 기판처리장치를 도시한 개략 횡단면도,
도 4는 실시형태에서의 회전수납선반의 회전기구체를 도시한 횡단면도,
도 5는 도 4의 AA선 단면도,
도 6은 도 4의 BB선 단면도,
도 7은 본 발명의 제2 실시형태의 기판처리장치를 도시한 개략 횡단면도,
도 8은 본 발명의 제3 실시형태의 기판처리장치를 도시한 개략 횡단면도,
도 9는 웨이퍼를 웨이퍼 카세트에 수납하여 반송하는 경우의 기판처리장치를 도시한 개략 종단면도,
도 10은 웨이퍼를 FOUP(Front Opening Unified Pod)에 수납하여 반송하는 경우의 기판처리장치를 도시한 개략 종단면도,
도 11은 도 10의 개략 횡단면도,
도 12는 FOUP의 회전수납선반의 각단에 FOUP를 5개씩 수납가능한 경우의 기판처리장치를 도시한 개략 횡단면도이다.
<도면의 주요부분에 대한 부호의 설명>
1 : 외장 12 : 웨이퍼
13 : FOUP 21 : FOUP 스테이지
22 : FOUP 반송기 24 : FOUP 수수 유닛
29 : 반응로 30 : 보트
31 : 웨이퍼 이송기 36 : FOUP 회전수납선반
37 : 회전기구체 38 : 선반판
39 : 감속기 41 : 구동 모터
51 : FOUP 회전수납선반 53 : FOUP 회전수납선반
본 발명에 의하면,
기판이 실린 수납용기를 다수 수납하여 회전할 수 있는 회전수납선반과, 회전수납선반으로 상기 수납용기를 반송하는 반송기를 구비한 기판처리장치에서,
상기 다수의 수납용기는 상기 회전수납선반의 회전중심을 지나는 방사중심선상에 상기 방사중심선에 대해 기울어져 배치되어 있는 것을 특징으로 하는 기판처리장치가 제공된다.
또, 본 발명에 의하면,
기판이 실린 수납용기를 다수 수납하여 회전가능한 회전수납선반과, 상기 회전수납선반에 상기 수납용기를 반송하는 반송기와, 상기 기판을 처리하는 기판처리부를 구비한 기판처리장치에서, 상기 다수의 수납용기는 상기 회전수납선반의 회전중심을 지나는 방사중심선상에 상기 방사중심선에 대해 기울어져 배치된 상기 기판처리장치를 사용하여 상기 기판의 처리를 하는 기판처리방법에서,
상기 기판을 실은 다수의 상기 수납용기를 상기 반송기에 의해 상기 회전수납선반에 수납하는 공정과,
상기 수납용기를 상기 반송기에 의해 상기 수납선반에서 기판이송위치로 반송하는 공정과,
상기 기판이송위치에서 상기 기판을 상기 수납용기로부터 꺼내는 공정과,
상기 기판처리부에서 상기 기판을 처리하는 공정을 구비한 것을 특징으로 하는 기판처리방법이 제공된다.
상기 목적을 달성하기 위해, 본 발명자는 먼저 도 9에 도시한 기판처리장치를 검토했다. 도 9를 참조하여 기판을 기판 카세트에 수납하여 반송하는 경우의 기판처리장치를 설명한다.
외장(1) 내의 뒤쪽 상부에는 반응로(2)가 설치되고, 반응로(2)에는 아래쪽으로부터 보트승강기(도시하지 않음)에 의해 보트(3)가 삽입인출 가능하게 되어 있다. 하강상태인 보트(3)의 앞쪽에는 웨이퍼 이송기(4)가 설치되고, 웨이퍼 이송기(4)의 앞쪽에는 상하로 상측 카세트 수납선반(5), 하측 카세트 수납선반(6)이 설치되어 있다. 상측 카세트 수납선반(5)은 2열 3단으로 6개의 웨이퍼 카세트(7)를 수납할 수 있고, 하측 카세트 수납선반(6)은 2열 4단으로 8개의 웨이퍼 카세트(7)를 수납할 수 있으며, 상측 카세트 수납선반(5)과 하측 카세트 수납선반(6)에서 합계 14개의 웨이퍼 카세트(7)를 수납할 수 있게 되어 있다.
상측 카세트 수납선반(5) 및 하측 카세트 수납선반(6)의 앞쪽에는 카세트 반송기(8)가 설치되고, 카세트 반송기(8)의 앞쪽에 카세트 스테이지(9)가 설치되어 있다. 카세트 스테이지(9)의 상방에는 카세트 서브 수납선반(10)이 설치되고, 또 외장(1)의 앞면에는 카세트 스테이지(9)에 인접하는 카세트 반입반출구(11)가 설치되어 있다.
웨이퍼(12)의 반송은 웨이퍼(12)를 웨이퍼 카세트(7)에 실은 상태에서 행해지고, 웨이퍼 카세트(7)는 바닥위를 주행하는 AGV(Automated Guided Vehicle)(도시하지 않음)에 의해 카세트 반입반출구(11)를 통해 카세트 스테이지(9)상으로 반송된다. 카세트 반송기(8)는 승강이동, 회전이동, 횡행(橫行)이동의 협동으로 웨이퍼 카세트(7)를 카세트 스테이지(9)로부터 상측 카세트 수납선반(5), 하측 카세트 수납선반(6) 또는 카세트 서브 수납선반(10)으로 반송한다.
웨이퍼 이송기(4)는 승강이동, 회전이동, 진퇴이동의 협동으로 하측 카세트 수납선반(6)으로 반송된 웨이퍼 카세트(7) 내의 웨이퍼(12)를 하강상태의 보트(3)로 이송하여 보트(3)에 소정수의 웨이퍼(12)가 실리게 되면, 보트승강기(도시하지 않음)는 보트(3)를 상승시켜 반응로(2)내에 삽입한다.
반응로(2) 내에서 웨이퍼(12)에 소정의 처리가 되면, 보트승강기(도시하지 않음)는 보트(3)를 하강시켜 반응로(2)로부터 꺼낸다. 보트(3), 웨이퍼(12)를 로(爐) 밖에서 냉각한 후, 웨이퍼 이송기(4)는 웨이퍼(12)를 하측 카세트 수납선반(6)의 웨이퍼 카세트(7)로 이송한다.
또, 다음에 처리되는 웨이퍼(12)는 웨이퍼 이송기(4)에 의해 보트(3)에 실려, 상기한 바와 같은 순서로 웨이퍼(12)에 소정의 처리가 반복된다. 또, 소정의 처리가 완료되어 웨이퍼 카세트(7)로 이송된 각 웨이퍼(12)는 상기 순서와 반대의 순서로 상기 카세트 반송기(8), 카세트 스테이지(9), 카세트 반입반출구(11)를 통해 외부로 반출된다.
이 장치에서는, 웨이퍼 카세트(7)를 이송하는 카세트 반송기(8)와 직접 접근할 수 있는 위치 외에서 웨이퍼 카세트(7)를 수용할 수 없으므로, 필요한 웨이퍼 카세트수를 확보하는 데는 카세트 반송기(8)의 접근 스트로크를 크게 하거나, 장치폭이나 높이를 크게하지 않으면 안 되는 문제가 있다는 것이 판명되었다.
상기한 바와 같이, 웨이퍼(12)를 웨이퍼 카세트(7)에 수납하여 반송한 경우, 반송중에 웨이퍼(12)의 표면에 대기중의 입자가 부착하거나 필요없는 산화막이 생성되는 경우가 있어 제품의 품질에 영향을 미칠 우려가 있다. 따라서, 제품품질의 향상을 위해 최근에는 웨이퍼(12)를 밀폐형 수납용기인 FOUP에 수납하여 대기를 차단하여 반송하는 것도 행해지고 있다.
FOUP(13)는 도 10 및 도 11에 도시한 바와 같이, 외형이 반타원형 기둥형상이고, 한쪽 측면은 웨이퍼(12)를 끼우고 뗄 때 이용되는 개구면(14)으로 되어 있고, 개구면(14)은 덮개(15)에 의해 밀폐되어 폐쇄되게 되어 있다. 또, FOUP(13)의 개구면(14)에 평행한 방향의 폭은 개구면(14)에 수직인 방향의 길이보다 길게 되어 있다.
다음에, 본 발명자는 도 10 및 도 11에 도시한 피처리기판의 수납용기로서 FOUP(13)를 사용한 기판처리장치를 검토했다.
도 10, 도 11을 참조하면, 외장(16)의 내부는 격벽(17)에 의해 밀폐되어 구분되고, 격벽(17)에 의해 앞쪽부분은 대기 분위기의 카세트 반송실(18), 격벽(17)으로부터 뒷쪽부분은 불활성 가스 분위기의 웨이퍼 이송실(19)로 되어 있다.
외장(16)의 앞면에는 FOUP 반입반출구(20)가 설치되고, 반입반출구(20)의 앞쪽에 FOUP 스테이지(21)가 설치되어 있다.
카세트 반송실(18)에는 FOUP 반입반출구(20)의 뒷쪽에 FOUP 반송기(22)가 설치되고, FOUP 반송기(22)의 앞쪽에서 FOUP 스테이지(21)의 윗쪽에는 FOUP 서브 수납선반(23)이 설치되어 있다. 또, FOUP 반송기(22)의 뒷쪽에 FOUP 수수 유닛(24)이 설치됨과 동시에 FOUP 수수 유닛(24)의 윗쪽에 회전가능한 FOUP 회전수납선반(25)이 설치되어 있다.
FOUP 회전수납선반(25)은 수직으로 설치된 회전기구체(26)와 회전기구체(26)에 설치된 3단의 선반판(27)으로 구성되어 있다. 각 단의 선반판(27)에는 FOUP(13)가 4개씩 수납가능하고, FOUP 회전수납선반(25)에는 합계 12개의 FOUP(13)가 수납가능하게 되어 있다. 각단의 FOUP(13)는 전후로 2개씩 병렬로 배치되는데, 뒷쪽에 배치된 2개의 FOUP(13)의 개구면(14)은 앞쪽을 향하고, 앞쪽에 배치된 다른 2개의 FOUP(13)의 개구면(14)은 뒷쪽을 향하도록 되어 있으며, 4개의 FOUP(13)은 좌우전후의 2방향으로 대칭적인 배치로 되어 있다.
격벽(17)에는 웨이퍼 반입반출구(28)가 설치되고, 웨이퍼 반입반출구(28)는덮개 개폐기구(도시하지 않음)에 의해 개폐되도록 되어 있다.
웨이퍼 이송실(19)에는 뒤쪽 상부에 반응로(29)가 설치되고, 반응로(29)에는 아래쪽으로부터 보트승강기(도시하지 않음)에 의해 보트(30)가 삽입인출 가능하게 되어 있다. 하강상태의 보트(30)와 웨이퍼 반입반출구(28)의 사이에는 웨이퍼 이송기(31)가 설치되어 있다.
웨이퍼(12)의 반송은 웨이퍼(12)를 FOUP(13)에 장전하여 밀폐한 상태에서 행해지고, FOUP(13)는 바닥 위를 주행하는 AGV(도시하지 않음)에 의해 개구면(14)을 뒷쪽(도 10중 지면우측)을 향한 상태에서 FOUP 스테이지(21) 상으로 반송된다. FOUP 회전수납선반(25)은 회전하여, 다음의 FOUP(13)의 수납위치를 앞쪽의 FOUP 수수위치로 이동시킨 상태에서 대기한다. FOUP 반송기(22)는 승강이동, 회전이동, 횡행이동의 협동으로 FOUP 스테이지(21)로부터 FOUP 반입반출구(20)를 통해 FOUP 회전수납선반(25) 또는 FOUP 서브 수납선반(23)으로 FOUP(13)를 반송하고, FOUP(13)는 FOUP 회전수납선반(25) 또는 FOUP 서브 수납선반(23)에 수납된다. 이상의 동작이 반복되어 소정수의 FOUP(13)가 FOUP 회전수납선반(25), FOUP 서브 수납선반(23)으로 반송된다.
다음에 보트(30)로 웨이퍼(12)를 이송하는 경우는, FOUP 회전수납선반(25)이 회전하여 이송대상이 되는 FOUP(13)를 FOUP 수수위치로 이동시킨 상태에서 대기한다. FOUP 반송기(22)는 다시 승강이동, 회전이동, 횡행이동의 협동에 의해 FOUP 회전수납선반(25)으로부터 FOUP 수수유닛(24)으로 FOUP(13)를 반송하거나 FOUP 서브 수납선반(23)으로부터 FOUP 수수유닛(24)으로 반송한다. FOUP(13)는 개구면(14)을뒷쪽을 향하게 한 상태에서 FOUP 수수유닛(24)에 이송된다.
FOUP(13)의 개구면(14)을 웨이퍼 반입반출구(28)의 가장자리부에 밀착시킨 후, 덮개 개폐기구(도시하지 않음)는 웨이퍼 반입반출구(28)를 개방함과 동시에 덮개(15)를 FOUP(13)로부터 떼낸다. 웨이퍼 이송기(31)는 승강이동, 회전이동, 진퇴이동의 협동으로 FOUP(13)내의 웨이퍼(12)를 하강상태의 보트(30)로 이동한다. 웨이퍼(12)의 이송은 예정된 매수가 될 때까지 다수의 FOUP(13)에 대해 실행된다. 보트(30)에 소정수의 웨이퍼(12)가 실리게 되면, 보트승강기(도시하지 않음)는 보트(30)를 상승시켜 반응로(29)내에 삽입한다.
반응로(29) 내에서 웨이퍼(12)에 소정의 처리가 되면, 보트승강기(도시하지 않음)는 보트(30)를 하강시켜 반응로(29)로부터 꺼낸다. 보트(30), 웨이퍼(12)가 소정 온도로 냉각된 후, 처리종료된 웨이퍼(12)는 상기 순서와 반대의 순서로 외부로 반출된다.
서두에서 언급한 바와 같이, 통상적으로 장치내에는 2배치분으로 합계 14개의 FOUP(13)를 수납시킬 필요가 있다.
그러나, 상기한 바와 같이 각 단에 FOUP(13)를 4개씩 수납할 수 있는 FOUP 회전수납선반(25)을 구비한 기판처리장치에서는, FOUP 회전수납선반(25)에 FOUP(13)를 최대로 12개까지밖에 수납할 수 없고, 또 FOUP 회전수납선반(25)의 아래쪽에는 FOUP 수수유닛(24), 덮개 개폐기구(도시하지 않음)가 설치되어, FOUP 회전수납선반(25)의 아래쪽에 다른 FOUP 수납선반을 설치할 공간이 확보할 수 없으므로, FOUP 스테이지(21)의 윗쪽에 FOUP 서브 수납선반(23)을 설치할 필요가 있었다. 그러나, FOUP(13)의 기판처리장치로의 반송은 바닥 위를 주행하는 AGV 반송에 의한 것 외에 천정에 부설된 레일을 따라 주행하는 OHT(Over Head Transfer)반송에 의해 행해지는 경우도 있고, 이 OHT 반송의 경우에는 FOUP(13)는 FOUP 스테이지(21)의 윗쪽으로부터 FOUP 스테이지(21)로 반송되므로, FOUP 스테이지(21)의 윗쪽에 FOUP 서브 수납선반(23)을 설치할 수는 없다. 따라서, OHT 반송이 가능한 장치로 하는 데는 FOUP 회전수납선반(25)의 단의 수를 증가시키는 것 이외에 다른 수단이 없고, FOUP 회전수납선반(25)의 단의 수를 증가시키면 장치 전체의 높이가 너무 높아져 장치의 높이제한을 초과하거나 장치반송시의 분해, 조립작업이 번잡해지거나 장치의 설치장소가 제한되는 등의 문제가 발생할 우려가 있다는 것이 판명되었다.
다음에, 본 발명자는 도 10 및 도 12에 도시한 각 단에 FOUP(13)를 5개씩 수납할 수 있는 FOUP 회전수납선반(32)을 구비한 기판처리장치를 검토했다.
또, 도 12 중, 도 11중과 같은 것에는 같은 번호를 붙이고 설명은 생략한다.
FOUP 회전수납선반(32)은 3단의 선반판(32)을 가지고, 각 단의 선반판(33)에는 FOUP 회전수납선반(32)의 회전중심에 대해 방사형상으로 정오각형을 형성하도록 FOUP(13)가 5개씩 올려져, FOUP 회전수납선반(32) 전체에서 합계 15개의 FOUP(13)가 수납가능하게 되어 있다.
FOUP 회전수납선반(32)과 FOUP 수수유닛(24)간의 FOUP(13)의 반송순서는 FOUP 회전수납선반(32)의 각 단에 FOUP(13)을 4개씩 수납한 경우와 같으므로 설명은 생략한다.
이러한 각단에 FOUP(13)를 5개씩 수납할 수 있는 상기 FOUP회전수납선반(32)을 구비한 기판처리장치에서는, FOUP(13)가 정오각형을 이루도록 배치되어 있지만, FOUP(13)는 개구면(14)에 평행한 방향의 폭 쪽이 개구면(14)에 수직인 방향의 길이(안쪽 길이)보다 길고, 또 개구면(14)이 서로 간섭하지 않도록 배치되므로, FOUP 회전수납선반(32)의 평면칫수는 FOUP(13)의 폭에 의해 주로 결정된다. 이러한 배치에서는 FOUP 회전수납선반(32)의 중심부분이 필요없는 빈 공간으로 되고, 따라서 FOUP 회전수납선반(32)의 평면칫수가 너무 커지게 되어, FOUP 회전수납선반(32)의 회전 원의 직경에 의해 장치전체의 폭칫수가 결정되어 장치가 대형화되는 문제가 있다는 것이 판명되었다.
본 발명자는 이러한 실정에 감안하여, 회전수납선반에 소정수의 FOUP 등의 수납용기를 효율좋게 수납시켜 장치전체를 대형화하지 않고, AGV 반송 및 OHT 반송을 가능하게 하는 다음의 실시예의 기판처리장치를 안출해 냈다.
이하, 도면을 참조하면서 본 발명의 실시예를 설명한다.
(제1 실시예)
먼저, 도 1∼도 3을 참조하여 본 발명의 제1 실시예를 설명한다.
또, 도 1∼도 3 중, 도 11∼도 13 중과 같은 것에는 같은 부호를 붙이고, 설명은 생략한다.
카세트 반송실(18)에는 외장(16)의 앞면을 따라 FOUP 반송기(22)가 설치되고, FOUP 반송기(22)의 뒤쪽 상부에 회전가능한 FOUP 회전수납선반(36)이 설치됨과 동시에, FOUP 회전수납선반(36)의 아래쪽에 FOUP 수수 유닛(24)이 설치되어 있다.
FOUP 회전수납선반(36)은 회전 기구체(37)와 회전 기구체(37)에 지지된 3단의 선반판(38)으로 구성되어 있다. 한 단의 선반판(38)에는 선반판(38)의 회전중심점(O)를 지나는 원 위에서, 원주를 5등분한 방사중심선(A)상에 FOUP(13)는 각 1개, 계 5개 수납가능하다. 즉, 5개의 FOUP(13)가 회전중심점(0)로부터 같은 거리의 위치에 배치되고, 또 인접한 2개의 방사중심선이 이루는 각끼리는 서로 같게 되어 있다. 그리고, FOUP 회전수납선반(36)에는 합계 15개의 FOUP(13)가 수납가능하게 되어 있다.
각 선반판(38)에는 FOUP(13)의 평면상의 도형중심(P)를 지나, FOUP(13)의 개구면(14)에 수직인 선(B)와 방사중심선(A)이 이루는 각도(α)가 예를 들면 33.7°를 이루도록 각 FOUP(13)가 배치되어 있다. 즉, FOUP(13)는 방사중심선(A)에 대해 각도(α)=33.7°만큼 오프셋으로 한 위치에 배치되어 있다. 이 때, 개구면(14)의 중앙(D)에서 개구면(14)에 수직인 선(B)과, 개구면(14)의 중앙(D)과 회전중심점(O)를 지나는 직선(C)은 각도(γ)만큼 기울여져 있도록 FOUP(13)가 기울여져 배치되어 있다.
상기 각도(α)는 도 3에서 알 수 있는 바와 같이, FOUP 회전수납선반(36)은 FOUP의 수수위치에 정지한 상태에서 수수대상이 되는 FOUP(13)가 FOUP반송기(22)에 대향하는 각도이고, 또 FOUP 반송기(22)에 의한 FOUP 스테이지(21)와 FOUP 회전수납선반(36)의 FOUP 수수위치 사이에서 FOUP(13)를 주고받는 경우에, FOUP(13)의 반송경로상에 인접한 FOUP(13)가 돌출되지 않는 각도이다. 또, 이 각도(α)는 FOUP 회전수납선반(36)의 정지회전각도, 즉 수수대상이 되는 FOUP(13)를 지나는 방사중심점(A)과 회전중심선(O)을 지나는 장치의 중심선(A')이 이루는 각도(β)와 같다. 즉, FOUP(13)는 장치의 중심선(A')으로부터 각도(β(=α))만큼옆쪽으로 어긋난 위치에서 수수가 행해진다.
상술한 FOUP(13)의 배치에 의해 선반판(38)상의 공간이용율이 커지게 되어, 각 선반판(38)에 FOUP(13)를 효율좋게 수납할 수 있다. 또, 선반판(38)으로의 FOUP(13)의 배치위치는 FOUP(13)간의 원주방향의 피치가 FOUP(13)의 개구면(14)에 평행한 방향의 폭치수에 의해 결정되지 않으므로, FOUP 회전수납선반(36)의 평면치수의 직경을 작게할 수 있게 된다.
이하, 도 4∼도 7을 참조하여 회전기구체(37)를 개략적으로 설명한다.
베이스(46)에 안이 비어있는 고정축(47)은 세워서 설치되고, 고정축(47)에 회전지주체(40)가 회전가능하게 장착되어 회전지주체(40)에 선반판(38)이 고정되어 있다. 고정축(47)을 관통하는 회전축(48)은 고정축(47)에 회전가능하게 설치되고, 회전축(48)의 상단은 연결 블록(49)에 고착되고, 다시 연결블록(49)은 회전지주체(40)에 고착되어 있다. 그래서 회전축(48)과 선반판(38)은 상기 연결블록(49), 회전지주체(40)를 통해 연결되어 일체로 회전하게 되어 있다.
또, 회전축(48)의 하단에는 감속부(39)를 통해 구동모터(41)가 연결되어 있다.
감속부(39)는 제1 감속기(42)와 제2 감속기(43)으로 이루어지고, 제1 감속기(42)는 동력전달부에 하모닉 감속기(상품명), 제2 감속기(43)는 웜(44), 웜 휠(45)을 각각 구비한다.
하모닉 감속기는 강성이 높은 상하 한쌍의 내톱니 링기어(60, 61)와 양 내톱니 링기어(60, 61)에 대해 직경이 작고 얇으며 가소성을 가지는 원통외톱니기어(62)와, 원통 외톱니기어(62)의 안쪽에 끼우는 타원형상의 로터(63)로 이루어지고, 로터(63)의 존재로 원통 외톱니기어(62)는 로터(63)의 직경이 긴 부분에서 내톱니 링기어(60, 61)와 부분적으로 맞물린다. 내톱니 링기어(60)를 고정시켜 로터(63)를 회전시키면, 내톱니 링기어(60), 내톱니 링기어(61)와 원통 외톱니기어(62)의 톱니수의 차이만큼 어긋나 원통 외톱니기어(62)가 회전하고, 다시 내톱니 링기어(61)가 회전한다. 그래서, 로터(63)의 회전은 크게 감속되어 내톱니 링기어(61)에 전달된다. 하모닉 감속기는 큰 감속비와 높은 맞물림율을 가진다.
구동모터(41)의 출력축(65)을 웜(44)에 연결하고, 웜 휠(45)의 회전축(66)을 로터(63)에 연결한다. 내톱니 링기어(61)는 커플링(67)을 통해 회전축(48)에 연결되어 있다. 그래서, 구동모터(41)의 회전은 제1 감속기(42)와 제2 감속기(43)의 2단에서 감속되어 회전축(48)에 전달된다. 또, 하모닉 감속기는 맞물림율이 높아 백래쉬가 작고, 또 감속비가 높으므로 웜(44), 웜 휠(45) 간의 백래쉬는 회전축(48)에는 거의 전달되지 않으므로, 선반판(38)의 위치결정, 정지정확도는 높아진다. 또, 모터를 서보모터 등 제어할 수 것을 사용함으로써, FOUP 회전수납선반(36)은 정확도가 높은 간헐회전이 가능하게 된다.
회전축(48)에 회전위치 검출판(68)이 설치되고, 베이스(46)에는 위치검출 센서(69)가 설치됨으로써, 위치검출 센서(69)에 의해 FOUP 회전수납선반(36)의 호밍(homing) 위치를 검출할 수 있다. 그래서, 호밍위치를 기준으로 FOUP 회전수납선반(36)은 방사중심선이 필요한 각도(α)가 되도록 회전위치결정이 행해진다.
이하, 조작을 설명한다.
웨이퍼(12)의 반송은 웨이퍼(12)를 FOUP(13)에 실은 상태에서 행해지고, FOUP(13)는 AGV반송 또는 OHT 반송에 의해 개구면(14)이 뒷쪽을 향한 상태에서 FOUP 스테이지(21)상으로 반송된다. FOUP 스테이지(21)의 윗쪽에는 서브 수납선반 등이 없어 FOUP 스테이지(21)의 앞쪽 및 윗쪽에 전송공간을 확보할 수 있으므로, AGV 반송 혹은 OHT 반송의 어디에도 대응할 수 있다.
FOUP 반송기(22)는 FOUP 반입반출구(20)를 지나 FOUP 스테이지(21)로부터 개구면을 뒤쪽으로 향하게 한 상태에서 FOUP(13)를 받아 실은 후, 승강이동, 회전이동, 횡행이동의 협동으로 FOUP 회전수납선반(36)의 FOUP 수수위치에 대치한다.
FOUP 반송기(22)의 반송동작과 병행하여, 구동모터(41)를 구동하여 감속부(39), 회전축(48)을 통해 선반판(38)을 회전시킨다. 선반판(38)의 FOUP 수납위치는 FOUP 스테이지(21)에 대치하는 위치에서 선반판(38)을 정지시킨다. FOUP 반송기(22)는 개구면(14)을 뒷쪽으로 향하게 한 상태에서 FOUP(13)를 FOUP 수납위치에 수납한다. 이러한 반송동작의 반복에 의해 FOUP 회전수납선반(36)으로 소정수의 FOUP(13)가 반입된다.
다음에, FOUP 회전수납선반(36)을 구동하여 웨이퍼 이송의 대상이 되는 FOUP(13)를 수수위치로 위치결정하여, FOUP 반송기(22)에 의해 FOUP 회전수납선반(36)으로부터 FOUP 수수 유닛(24)으로 반송한다. 도 1에 도시한 장치에서는, FOUP 수수 유닛(24)으로의 FOUP(13)의 이송은 2개 행해진다.
FOUP(13)의 덮개(15)가 열리고, 웨이퍼 반입반출구(28)를 지나 웨이퍼 이송기(31)에 의해 FOUP(13)로부터 보트(30)로 웨이퍼(12)가 이송된다. FOUP(13)의웨이퍼(12)가 없게 되면, FOUP 수수 유닛(24)상의 FOUP(13)는 FOUP 회전수납선반(36)으로 되돌아가 다시 새로운 FOUP(13)가 FOUP 수수 유닛(24)에 반송되고, 보트(30)로 소정 웨이퍼(12)의 이송이 완료될 때까지 상기 작동이 반복된다.
보트(30)는 반응로(29)에 삽입되어 웨이퍼(12)에 처리가 행해진다. 처리가 완료된 웨이퍼는 상기판 작동과는 반대의 작동에 의해 FOUP 수수 유닛(24)상의 FOUP(13)로의 웨이퍼(12)의 이송, 또 FOUP 수수 유닛(24)과 FOUP 회전수납선반(36)간의 FOUP(13)의 반송이 행해진다.
FOUP 회전수납선반(36)으로부터 FOUP(13)를 외부로 반출하는 경우는, 반입동작과 반대의 순서로 행해진다.
FOUP 반송기(22)의 1회의 동작에 의해 FOUP 회전수납선반(36)으로 수납 또는 인출가능한 FOUP(13)의 수는 1개뿐이지만, FOUP 반송기(22) 및 FOUP 회전수납선반(36)을 덮개개폐기구(도시하지 않음)의 개폐동작, 웨이퍼 이송기(31)의 웨이퍼(12) 이송동작과 병행하여 동작시킴으로써, 처리량을 향상시킨다.
(제2 실시예)
다음에 도 7을 참조하여 본 발명의 제2 실시예를 설명한다.
또, 도 7 중, 도 1∼도 6 중과 같은 같은 것에는 같은 부호를 붙이고, 설명은 생략하며, 또 조작은 제1 실시예와 같으므로 설명을 생략한다.
FOUP 회전수납선반(51)에는 3단의 선반판(52)이 설치되고, 각단의 선반판(52)에는 FOUP 회전수납선반(51)의 회전중심(O)을 지나는 4개의 방사중심선(A)상에 FOUP(13)를 4개씩 수납할 수 있다. 즉, 4개의 FOUP(13)는 회전중심점(O)로부터 같은 거리의 위치에 배치되고, 또 인접한 두 개의 방사중심선이 이루는 각끼리는 서로 같아지게 되어 있다. 그리고, FOUP회전수납선반(51)에는 합계 12개의 FOUP(13)를 수납할 수 있게 되어 있다. 각 선반판(52)에는 FOUP(13)의 도심(P)를 지나 FOUP(13)의 개구면(14)에 수직인 선(B)과 FOUP 회전수납선반(51)의 회전중심(O)을 지나는 중심선(A)이 이루는 각도(α)는, 예를 들면 45°를 이루도록 각 FOUP(13)가 배치되어 있다. 즉, FOUP(13)는 방사중심선(A)에 대해 각도 α=45°만큼 오프셋한 위치에 배치되어 있다. 이 때, 개구면(14)의 중앙(D)에서 개구면(14)에 수직인 선(B)과 개구면(14)의 중앙(D)과 회전중심점(O)을 지나는 직선(C)은 각도(γ)만큼 기울여져 있도록 FOU(13)이 기울여져 배치되어 있다. 제2 실시예에서는 FOUP(13)의 수수위치가 중심선(A')보다 δ=45°만큼 옆쪽으로 어긋난 위치에서 수수가 행해진다.
(제3 실시예)
다음에 도 8을 참조하여 본 발명의 제3 실시예를 설명한다.
또, 도 8 중, 도 1∼도 6 중과 같은 것에는 같은 번호를 붙이고, 설명은 생략하고, 또 조작은 제1 실시예와 같으므로 설명을 생략한다.
FOUP 회전수납선반(53)에는 3단의 선반판(54)이 설치되고, 각단의 선반판(54)에는 FOUP(13)를 6개씩 수납할 수 있다. 즉, 6개의 FOUP(13)는 회전중심점(O)로부터 같은 거리의 위치에 배치되고, 또 인접한 두 개의 방사중심선이 이루는 각끼리는 서로 같아지게 되어 있다. 그리고, FOUP 회전수납선반(54)에는 합계 18개의 FOUP(13)를 수납할 수 있게 되어 있다. 각 선반판(53)에는 FOUP(13)의 도심(P)을 지나 FOUP(13)의 개구면(14)에 수직인 선(B)과 FOUP 회전수납선반(53)의 회전중심(O)을 지나는 중심선(A)이 이루는 각도(α)가 예를 들면 29.3°를 이루도록 각 FOUP(13)가 배치되어 있다. 즉, FOUP(13)는 중심선(A)에 대해 각도 α=29.3°만큼 오프셋한 위치에 배치된다. 이 때, 개구면(14)의 중앙(D)에서 개구면(14)에 수직인 선(B)과, 개구면(14)의 중앙(D)과 회전중심점(O)을 지나는 직선(C)은 각도(γ)만큼 기울여져 있도록 FOU(13)가 기울여져 배치되어 있다. 그리고, 제3 실시예에서는 FOUP(13)의 수수위치가 중심선(A')보다 δ=29.3°만큼 옆쪽으로 어긋난 위치에서 수수가 행해진다.
또, 상기 실시예에서는 웨이퍼(12)의 반송을 FOUP(13)에 실은 상태에서 행하는 경우에 대해서 설명했지만, 웨이퍼(12)를 웨이퍼 카세트 등의 개방형 수납용기 또는 FOUP(13) 이외의 밀폐형 수납용기에 실어 반송하는 경우에 대해서도 실시할 수 있다는 것은 논할 여지가 없다.
또, FOUP회전수납선반(36, 51, 53)의 각단으로의 FOUP(13)의 수납수는 상기한 수에 한하지 않고, 3개 이하 또는 7개 이상으로 해도 된다.
또, 상기 제1 실시예, 제2 실시예, 제3 실시예 중에서 도시한 방사중심선과 FOUP(13)의 방향의 각도는 방사중심선의 분할수에 의해 한번에 결정된 것이 아니라, FOUP(13)의 형상에 의해 변할 가능성이 있다. 요점은 FOUP 스테이지(21), FOUP 반송기(22)에 대향하고, 그 위치에서 반송될 때 인접한 FOUP(13)에 간섭하지 않는 각도라는 것이다. 또, FOUP(13)가 비대칭형일 경우 등, 방사중심선은 반드시 FOUP(13)의 도심을 지난다고 한정되지 않는 것은 물론이다.
이상 설명한 바와 같이, 본 발명에 의하면, 회전수납선반의 평면치수가 수납용기의 개구면의 폭치수에 따라 결정되지 않고, 수납용기를 회전수납선반에 평면적으로, 필요없는 공간없이 효율 좋게 수납할 수 있다. 이 때문에, 회전수납선반의 회전원의 직경을 작게 할 수 있고, 회전수납선반의 소형화를 도모할 수 있으며, 장치의 설치장소의 공간을 줄일 수 있다.
또, 각단에 4개의 수납용기를 수납할 수 있는 회전수납선반에서는, 2배치분의 수납용기의 수량이 12개 이하인 경우에는 회전수납선반 이외에 수납선반을 설치할 필요가 없고, 또 각단에 5개 이상의 수납용기가 수납가능한 회전수납선반에서는 통상적인 처리에서 필요한 수납용기수인 14개보다 많은 수납용기를 장치내에 수납할 수 있으며, 통상의 처리 경우만이 아니라 1배지분의 피처리기판의 매수가 증가한 경우에도 회전수납선반 이외에 수납선반을 설치할 필요가 없다. 따라서, 수납용기의 장치(31)로의 반송을 윗쪽 및 앞쪽에서 행할 수 있으므로, 동일장치에서 수납용기의 각종반송방식에 유연하게 대응할 수 있는 등 여러 가지의 뛰어난 효과를 발휘한다.

Claims (16)

  1. (정정) 기판이 실린 수납용기를 다수 수납하여 회전할 수 있는 회전수납선반과, 상기 회전수납선반으로 상기 수납용기를 반송하는 반송기를 구비한 기판처리장치에서,
    상기 다수의 수납용기는 상기 회전수납선반의 회전중심을 지나는 방사중심선상에 상기 방사중심선에 대해수평방향에서 소정의 각도로기울여 배치된 것을 특징으로 하는 기판처리장치.
  2. 제 1항에 있어서, 상기 수납용기는 상기 회전중심으로부터 같은 거리의 위치에 배치된 것을 특징으로 하는 기판처리장치.
  3. 제 1항에 있어서, 인접한 두 개의 상기 방사중심선이 이루는 각끼리는 서로 같은 것을 특징으로 하는 기판처리장치.
  4. 제 1항에 있어서, 상기 수납용기에 상기 기판이 반입/반출되는 방향은 상기 방사중심선에 대해 기울어져 상기 수납용기가 배치된 것을 특징으로 하는 기판처리장치.
  5. 제 1항에 있어서, 상기 수납용기에 상기 기판이 반입/반출되는 상기 수납용기의 개구면의 중앙에서, 상기 개구면에 수직인 선은 상기 개구면의 상기 중앙과상기 회전수납선반의 회전중심을 지나는 직선에 대해 기울어져 상기 수납용기가 배치된 것을 특징으로 하는 기판처리장치.
  6. 제 1항에 있어서, 상기 반송기는 상기 회전수납선반에 배치된 상기 수납용기를 주고받는 위치인 수납용기 수수위치에서, 상기 반송기는 상기 수납용기를 주고받을 수 있도록 상기 수납용기를 상기 방사중심선에 대해 기울여 배치한 것을 특징으로 하는 기판처리장치.
  7. 제 6항에 있어서, 상기 수납용기는 상기 수납용기 수수위치에서 상기 반송기에 대향하도록 상기 수납용기를 상기 회전수납선반에 배치한 것을 특징으로 하는 기판처리장치.
  8. 제 6항에 있어서, 상기 수납용기 수수위치에 대해 상기 반송기가 상기 수납용기 중의 어느 한 수납용기를 주고받을 때에, 상기 회전수납선반의 상기 수납기 수수위치 이외의 위치에 배치된 상기 수납용기중의 다른 수납용기는 상기 반송기가 상기 수납용기 수수위치에 대해 상기 하나의 수납용기를 주고받는 것에 지장을 주지 않도록 상기 수납용기가 상기 회전수납선반에 배치된 것을 특징으로 하는 기판처리장치.
  9. 제 1항에 있어서, 상기 반송기가 상기 회전수납선반에 배치된 상기 수납용기를 주고받는 위치인 수납용기의 수수위치는 상기 기판처리장치의 중심선의 옆쪽에 배치된 것을 특징으로 하는 기판처리장치.
  10. 제 1항 또는 제 7항에 있어서, 상기 기판처리장치에 상기 수납용기를 반입/반출하는 수납용기 반입출부를 더 구비하고,
    상기 반송기가 상기 회전수납선반에 배치된 상기 수납용기를 주고받는 위치인 수납용기 수수위치에서의 상기 수납용기의 방향을 상기 수납용기 반입출부상에 올려진 상기 수납용기의 방향과 동일하게 한 것을 특징으로 하는 기판처리장치.
  11. (정정) 기판이 실린 수납용기를 다수 수납하여 회전할 수 있는 회전수납선반과, 상기 회전수납선반으로 상기 수납용기를 반송하는 반송기와, 상기 기판을 처리하는 기판처리부를 구비한 기판처리장치에서, 상기 다수의 수납용기는 상기 회전수납선반의 회전중심을 지나는 방사중심선상에 상기 방사중심선에 대해수평방향에서 소정의 각도로기울여 배치된 상기 기판처리장치를 사용하여 상기 기판의 처리를 하는 기판처리방법에서,
    상기 기판을 충전한 다수의 상기 수납용기를 상기 반송기에 의해 상기 회전수납선반에 수납하는 공정과,
    상기 수납용기를 상기 반송기에 의해 상기 수납선반으로부터 기판이송위치로 반송하는 공정과,
    상기 기판이송위치에서 상기 기판을 상기 수납용기로부터 꺼내는 공정과,
    상기 기판처리부에서 상기 기판을 처리하는 공정을 구비한 것을 특징으로 하는 기판처리방법.
  12. 제 11항에서, 상기 수납용기는 상기 회전중심으로부터 같은 거리의 위치에 배치된 것을 특징으로 하는 기판처리방법.
  13. 제 11항에서, 인접하는 두 개의 상기 방사중심선이 이루는 각끼리는 서로 같은 것을 특징으로 하는 기판처리방법.
  14. 제 11항에서, 상기 수납용기에 상기기판이 반입/반출된 방향은 상기 방사중심선에 대해 기울어져 상기 수납용기가 배치된 것을 특징으로 하는 기판처리방법.
  15. 제 11항에서, 상기 반송기는 상기 회전수납선반에 배치된 상기 수납용기를 주고받는 위치인 수납용기의 수수위치에서 상기 수납용기는 상기 반송기에 대향도록 상기 수납용기를 상기 회전수납선반에 배치한 것을 특징으로 하는 기판처리방법.
  16. 제 11항에서, 상기 기판처리장치에 상기 수납용기를 반입/반출하는 수납용기 반입출부를 더 구비하고,
    상기 반송기가 상기 회전수납선반에 배치된 상기 수납용기를 주고받는 위치인 수납용기의 수수위치에서의 상기 수납용기의 방향을 상기 수납용기 반입출부에올려진 상기 수납용기의 방향과 동일하게 한 것을 특징으로 하는 기판처리방법.
KR1020000003664A 1999-01-26 2000-01-26 기판 처리 장치 및 기판 처리 방법 KR100361130B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP99-17267 1999-01-26
JP11017267A JP2987148B1 (ja) 1999-01-26 1999-01-26 基板処理装置

Publications (2)

Publication Number Publication Date
KR20000053623A KR20000053623A (ko) 2000-08-25
KR100361130B1 true KR100361130B1 (ko) 2002-11-18

Family

ID=11939205

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000003664A KR100361130B1 (ko) 1999-01-26 2000-01-26 기판 처리 장치 및 기판 처리 방법

Country Status (4)

Country Link
US (1) US6582174B1 (ko)
JP (1) JP2987148B1 (ko)
KR (1) KR100361130B1 (ko)
TW (1) TW465007B (ko)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
TW522127B (en) * 2001-02-21 2003-03-01 Daifuku Kk Cargo storage facility
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
JP3832292B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷保管設備
JP3832295B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷取り扱い設備
CA2462447A1 (en) * 2001-10-19 2003-04-24 Monogen, Inc. Article handling system and method
US6663340B1 (en) * 2002-08-30 2003-12-16 Motorola, Inc. Wafer carrier transport system for tool bays
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
DE10350517A1 (de) * 2003-10-29 2005-06-09 Sieghard Schiller Gmbh & Co. Kg Wafer-Stocker
US7578650B2 (en) * 2004-07-29 2009-08-25 Kla-Tencor Technologies Corporation Quick swap load port
KR100788198B1 (ko) * 2006-07-03 2007-12-26 주식회사 에스에프에이 구동용 회로기판 공급장치 및 구동용 회로기판 공급장치용카세트 하우징
JP4215079B2 (ja) * 2006-07-31 2009-01-28 村田機械株式会社 クリーンストッカと物品の保管方法
JP2008060513A (ja) * 2006-09-04 2008-03-13 Tokyo Electron Ltd 処理装置及び処理方法
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2009010009A (ja) 2007-06-26 2009-01-15 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
CN101828259B (zh) 2008-09-30 2012-06-27 佳能安内华股份有限公司 基板保持件收纳室
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8882433B2 (en) * 2009-05-18 2014-11-11 Brooks Automation, Inc. Integrated systems for interfacing with substrate container storage systems
EP2433299B1 (en) * 2009-05-18 2022-10-26 Brooks Automation US, LLC Substrate container storage system
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8759084B2 (en) 2010-01-22 2014-06-24 Michael J. Nichols Self-sterilizing automated incubator
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8888434B2 (en) 2011-09-05 2014-11-18 Dynamic Micro System Container storage add-on for bare workpiece stocker
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10177020B2 (en) 2015-02-07 2019-01-08 Kla-Tencor Corporation System and method for high throughput work-in-process buffer
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017090186A1 (ja) 2015-11-27 2017-06-01 株式会社日立国際電気 基板処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019102795A1 (ja) * 2017-11-27 2019-05-31 村田機械株式会社 保管装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR102158828B1 (ko) * 2018-11-28 2020-09-22 세메스 주식회사 원통형 선반 장치 및 원통형 선반 장치의 구동 유닛 조립 방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102645892B1 (ko) 2021-06-16 2024-03-11 주식회사 인찬 어린이 보호 구역 안전 표지판 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7352667B2 (ja) 2022-01-12 2023-09-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN114551296B (zh) * 2022-01-28 2023-02-28 弥费科技(上海)股份有限公司 分区管理方法、装置、计算机设备和存储介质
CN117049179A (zh) * 2023-07-11 2023-11-14 上海稷以科技有限公司 半导体自动化设备及自动化控制方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4886412A (en) * 1986-10-28 1989-12-12 Tetron, Inc. Method and system for loading wafers
KR930002562B1 (ko) * 1986-11-20 1993-04-03 시미즈 겐세쯔 가부시끼가이샤 클린룸내에서 사용되는 방진저장 캐비넷장치
US4986715A (en) * 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
JPH02139947A (ja) 1988-11-19 1990-05-29 Dainippon Screen Mfg Co Ltd 熱処理炉への基板装填搬送装置
JPH0440534A (ja) 1990-06-07 1992-02-10 Fujitsu Ltd 予備装置切換方式
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5697749A (en) * 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
JP2952748B2 (ja) 1994-11-24 1999-09-27 光洋リンドバーグ株式会社 熱処理装置
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US6029759A (en) * 1997-04-04 2000-02-29 Smith International, Inc. Hardfacing on steel tooth cutter element

Also Published As

Publication number Publication date
JP2000216212A (ja) 2000-08-04
KR20000053623A (ko) 2000-08-25
TW465007B (en) 2001-11-21
US6582174B1 (en) 2003-06-24
JP2987148B1 (ja) 1999-12-06

Similar Documents

Publication Publication Date Title
KR100361130B1 (ko) 기판 처리 장치 및 기판 처리 방법
US6607602B1 (en) Device for processing semiconductor wafers
KR100244041B1 (ko) 기판처리장치
US5248886A (en) Processing system
US6769855B2 (en) Substrate processing apparatus and substrate processing method
EP1094921B1 (en) Transferring substrates with different holding end effectors
JP5100179B2 (ja) 基板処理装置
US8292563B2 (en) Nonproductive wafer buffer module for substrate processing apparatus
KR20090091702A (ko) 솔라 웨이퍼와 같은 일 측면이 도핑될 웨이퍼의 스택 형성 방법 및 웨이퍼 배치의 프로세스 보트 탑재를 위한 핸들링 시스템
CN101399180B (zh) 基板处理装置
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
KR100266401B1 (ko) 반도체 제조장치 및 이 반도체 제조장치에서 웨이퍼 카세트 내에 있는 웨이퍼의 위치 수정 방법과 웨이퍼 카세트의 이송방법
CN107210258A (zh) 基板处理装置以及半导体装置的制造方法
JPH09104982A (ja) 基板処理装置
TWI520257B (zh) 基板處理裝置
JPH0294647A (ja) ウェーハ処理装置
CN110952079A (zh) 搁架、承载盘、托盘、缓冲腔、装载腔及基片传输系统
JP6704423B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2000311935A (ja) 基板処理装置
TW202226429A (zh) 基板處理裝置及基板處理方法
JP2001093957A (ja) 電子部品の製造装置および電子部品の製造方法
JPH05326666A (ja) 搬送装置
CN214736078U (zh) 一种模块化旋转式空间原子层沉积系统
JP2002016055A (ja) 半導体製造装置
JP2013165177A (ja) ストッカー装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121023

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20131022

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20141021

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20161020

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20181023

Year of fee payment: 17

FPAY Annual fee payment

Payment date: 20191017

Year of fee payment: 18