KR100355212B1 - 후세척처리방법 - Google Patents

후세척처리방법 Download PDF

Info

Publication number
KR100355212B1
KR100355212B1 KR19980708205A KR19980708205A KR100355212B1 KR 100355212 B1 KR100355212 B1 KR 100355212B1 KR 19980708205 A KR19980708205 A KR 19980708205A KR 19980708205 A KR19980708205 A KR 19980708205A KR 100355212 B1 KR100355212 B1 KR 100355212B1
Authority
KR
South Korea
Prior art keywords
composition
acid
metal
hydroxylamine
chemical
Prior art date
Application number
KR19980708205A
Other languages
English (en)
Other versions
KR20000064914A (ko
Inventor
로버트 제이. 스몰
Original Assignee
이케이씨 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이케이씨 테크놀로지, 인코포레이티드 filed Critical 이케이씨 테크놀로지, 인코포레이티드
Publication of KR20000064914A publication Critical patent/KR20000064914A/ko
Application granted granted Critical
Publication of KR100355212B1 publication Critical patent/KR100355212B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/18Hydrocarbons
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D9/00Chemical paint or ink removers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3227Ethers thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3254Esters or carbonates thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/16Metals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Polarising Elements (AREA)

Abstract

본 발명은 pH가 약 3.5 내지 약 7인 수용액을 포함하는, 금속 또는 유전체 표면으로부터 화학물질 잔여물을 제거하거나, 구리 표면을 화학 기계적으로 연마하기 위한 조성물에 관한 것이다. 본 발명의 조성물은 단일작용성, 이장용성 또는 삼작용성 유기산 및 완충량의 4차 아민, 수산화암모늄, 히드록실아민, 히드록실아민염, 히드라진 또는 히드라진염 염기를 함유한다. 금속 또는 유전체 표면으로부터 화학물질 잔여물을 제거하기 위한 본 발명에 따른 방법은 금속 또는 유전체 표면을 화학물질 잔여물을 제거하기에 충분한 시간 동안 본 발명의 조성물과 접촉시키는 것을 포함한다. 구리 표면을 화학 기계적으로 연마하기 위한 본 발명에 따른 방법은 본 발명의 조성물을 구리 표면에 도포하고, 조성물의 존재하에서 표면을 연마하는 것을 포함한다.

Description

후세척 처리 방법
반도체 산업(1994)에 있어서 내쇼날 테크놀로지 로드맵(National Technology Roadmap)은 0.35 미크론 형상 크기인 현재의 컴퓨터 칩이 2001년에는 0.18 미크론 형상 크기로 감소될 것이라고 지적한다. DRAM 칩은 1 기가바이트의 메모리를 가질 것이며, 전형적인 CPU는 13 밀리언 트랜지스터/㎠ 를 가질 것이다(현재의 CPU는 단지 4 밀리언을 함유함). 금속층(와이어)의 갯수는 현재의 2 내지 3개에서 5 내지 6개로 증가할 것이며, 동작 주파수는 현재의 200MHz에서 500MHz로 증가할 것이다. 이로 인해 전기 신호의 지연을 줄이기 위해 웨이퍼 칩상의 3차원 구조에 대한 필요성이 증가할 것이다. 현재는 "와이어"/칩의 길이가 840 미터이지만, 2001년까지는 (현저한 디자인 변화가 없다면) 전형적인 칩은 10,000 미터를 가질 것이다. 이러한 와이어의 길이는 칩의 속도 성능을 매우 손상시킬 것이다.
웨이퍼를 에칭, 평탄화 및 세척하기 위한 보다 신규한 방법이 이들 각각의 중요한 단계 후에 개발되어져야 한다. 본 발명은 습윤 화학 공정 단계(후에칭 잔여물 세척 단계, PER)후에 웨이퍼를 처리(준비)하는 신규한 방법에 관한 것이다. 특히, 본 발명은 아민 기재 습윤 화학물질 처리에 의해 잔여 아민을 제거하는 방법에 관한 것이다. 일반적으로, 아민 기재 화학물질은 후에칭 잔여물("폴리머 잔여물", "펜스(fence)", 베일 등)을 제거하기 위해 사용되고 있다. 이들 화학 조성물은후CMP 세척 및 CMP 평탄화에서 구리 웨이퍼를 연마하는 데에 적용될 수 있다.
반도체 산업(또는 플랫 패널 디스플레이, 마이크로일렉트로메카니컬 디바이스 등)에서 웨이퍼의 습윤 화학적 처리(금속 에칭 또는 후에칭 잔여물 제거 등을 위한 처리) 동안의 일정 시점에서, 재료는 린싱 단계, 또는 후세척 처리 단계를 "거쳐야(move through)"한다. 이러한 린싱 단계는 이전 단계에서 사용된 화학물질을 제거하고, 이전 화학물질에 의한 추가의 화학 작용을 중단시키기 위해 고안된다. 이러한 용액은 또한 기판 표면 상의 미립자를 감소시키기 위해 고안된다. 린싱은 일반적으로 2부분 시스템으로, 즉, "양성(benign)" 유기 화학물질(양성 용매는 이전 아민 기재 화학물질을 간단히 희석시키며, 이소프로필 알코올(IPA) 또는 N-메틸피롤리돈(NMP) 일 수 있음)을 함유하는 하나의 조(bath)에서의 둘 린싱 단계 및 증기 IPA 건조로 이루어지는 최종적인 건조 단계로 이루어진다. 일부 시설에서는, 생성물이 1차 화학물질에서 IPA 또는 물로 직접 이동한다. 일부의 경우에, 수조는 CO2로 포화되어 조의 pH가 약간 낮은 pH(산성)로 조정된다.
당업 분야의 린싱 시스템으로 수득된 결과의 예로서, 도 1은 퀵 덤프 린서(Quick Dump Rinser, QDR)에 대한 유체 흐름 방정식을 이용하는 산디아 라보라토리스(Sandia Laboratories)에 의한 컴퓨터 시뮬레이션으로 수득된 결과의 그래프로서, 물 스프레이가 아민 함유 습윤 화학물질 용액으로 처리한 웨이퍼 표면 상에, 웨이퍼를 함유하는 조에 축적된 린스로부터의 물을 이용하여 적용된다. 그런 다음, 조의 바닥은 축적된 물을 신속히 비우기 위해 개방된다. 도시된 바와 같이, 곡선 4에 의해 나타난 바와 같은 웨이퍼 상에 잔류하는 이론적으로 낮은 아민 농도 대신에, 전형적인 곡선 6 또는 최악의 곡선 8에 나타난 바와 같이 높은 아민 농도가 잔류한다.
현재의 린스 용매 중의 일부는 낮은 인화점(IPA 인화점은 22℃(밀폐 컵)이며, NMP는 86℃(밀폐 컵)이다) 및/또는 SARA 타이틀(Title) III 리스팅을 갖는다. SARA 타이틀 III 리스팅은 화학물질이 모니터링되어야 하고, 양이 연별 기준으로 연방 정부에 보고되어야 함을 의미한다. 이소프로필 알코올 및 NMP는 수 백가지 화합물 리스트 중에 포함된다.
관련 문헌
도 1은 종래의 방법으로 수득된 결과를 도시하는 그래프이다.
도 2는 본 발명의 후세척 처리가 유리하게 사용되는 전형적인 방법 순서를 도시하는 순서도이다.
도 3은 웨이퍼 상의 Al 금속의 부식율 대 물 린스 시스템에 용해된 아민량을 도시하는 그래프이다.
도 4는 Al에 대한 푸어바익스(Pourbaix) 다이아그램이다.
도 5a 내지 5c는 본 발명의 후세척 처리를 사용하여 및 사용함이 없이 수득된 비교 결과의 주사 전사 현미경사진(SEM)이다.
도 6 내지 8은 본 발명에 의해 수득된 결과를 도시하는 막대 그래프이다.
본 발명은 종래 기술과 관련된 하기의 문제들을 해결한다:
● 부식 문제를 감소 또는 제거시킴
● 가연성 용매의 사용을 배제시킴
● SARA 타이틀 III 화학물질을 배제시킴
● 이동성 및 전이 금속 이온을 감소시킴
본 발명의 후세척 처리 용액은 하기의 특징을 갖는다:
● 수성 기재
● 바람직하게는 pH가 4.2 내지 4.4임
● 높은 중화력
● 이동성 및 전이 금속 "트래쉬(trash)" 이온을 조절하도록 고안됨
● 금속 산화물 손상의 복구 가능.
본 발명에 따르면, 금속 또는 유전체 표면으로부터 화학물질 잔여물을 제거하기 위한 조성물 또는 구리 표면을 화학 기계적으로 연마하기 위한 조성물은 pH가약 3.5 내지 약 7인 수용액이다. 본 발명의 조성물은 단일작용성, 이작용성 또는 삼작용성 유기산, 및 완충량의 4차 아민, 수산화암모늄, 히드록실아민, 히드록실아민염, 히드라진 또는 히드라진염 염기를 함유한다. 금속 또는 유전체 표면으로부터 화학물질 잔여물을 제거하기 위한 본 발명에 따른 방법은 화학물질을 제거하기에 충분한 시간 동안 금속 또는 유전체 표면을 상기 조성물과 접촉시키는 것을 포함한다. 구리 표면을 화학 기계적으로 연마하기 위한 본 발명에 따른 방법은 상기 조성물을 구리 표면에 도포하고, 조성물의 존재하에서 표면을 연마하는 것을 포함한다.
본 발명의 또 다른 일면에 있어서, 화학물질 잔여물은 화학물질 잔여물을 제거하기에 충분한 시간 동안 금속 또는 유전체 표면을 pH가 약 3.5 내지 약 7인 수성 조성물과 접촉시킴으로써 금속 또는 유전체 표면으로부터 제거된다. 본 발명의 또 다른 일면에 있어서, 구리 표면은 pH가 약 3.5 내지 약 7인 수성 조성물을 구리 표면에 도포하고, 조성물의 존재하에서 표면을 연마함으로써 화학 기계적으로 연마된다.
본 발명은 기판을 처리하기 위해 고안된 신규한 일련의 화학물질(후세척 처리 용액)에 관한 것이다. 후세척 처리는 하기의 6가지 특징을 갖는다:
1. 상당량의 히드록실아민 기재, 아민 기재 및 알칸올아민 기재 화학물질을 중화시킨다.
2. 웨이퍼 표면으로부터 화학 첨가물질 및 슬러리 입자를 제거하기 위해 후 CMP 제거에서 사용될 수 있다.
3. 수용액으로, 인화점이 없다.
4. 성분은 SARA 타이틀 III 리스트에 기재되어 있지 않다.
5. 웨이퍼의 표면 상에 흡수된 이동성 이온 및 "트래쉬" 전이 금속 이온의 농도를 감소시킬 것이다.
6. 아민 화학물질 단계 후의 금속 산화물 막에 대한 손상을 "복구"하기 위해 고안된 적정한 산화성 용액이다.
7. 특정한 제형은 CMP 조건하에서 구리를 연마시킬 것이다.
8. IPA 린스에서 가능하게 나타난 바와 같이, 아민 침전물이 형성되는 것을 방지 한다.
이제 도면, 더욱 특히 도 2를 참조하면, 본 발명이 사용될 수 있는 전형적인 공정 순서가 도시되어 있다. 에칭 단계(10) 후에, 회분화 단계(12), 습윤 화학물질 단계(14) 또는 이 두 단계 모두가 포토레지스트 및 에칭 잔여물을 제거하기 위해 사용된다. 종래 기술에 따르면, 탄산수 린스(16), 이소프로필 알코올 린스(18) 또는 N-메틸 피롤리돈 린스(20)가 찌꺼기 및 잔류 습윤 화학물질을 에칭된 기판으로부터 제거하기 위해 사용된다. 본 발명에 의하면, 후세척 처리 용액 린스(22)는 린스(16, 18 또는 20) 대신에 사용된다. 탈이온수 린스(24)는 도 2에 도시된 공정 순서를 완결시킨다.
배경
후에칭 잔여물 화학물질 중화
후세척 처리 화학물질의 중요한 특징은 웨이퍼 및 보우트를 갖는 조로부터 수행(드랙 아웃(drag out))될 아민 특성을 신속하게 중화시키는 능력이다. 물 린스중의 저농도(3 내지 20%)의 아민이 금속 표면, 특히 Al 금속 구조물의 부식을 초래할 수 있는 것으로 널리 이해되어 있다(도 3 참조). 주요 원인은 아민이 물과 반응하여 수산화물 음이온을 형성하는 데에 있다:
그런 다음, 히드록실기는 구조물을 "에칭"시키기 위해 금속 표면 또는 결정입계를 공격한다.
이러한 공격에 대한 1가지 가능한 메카니즘은 양쪽성 물질인 Al2O3가 pH가 4 미만인 산 또는 pH가 10을 넘는 염기에 의해 제거될 수 있다는 데에 있다.
도 3은 웨이퍼 상의 Al 금속의 부식율 대 물 린스 시스템 중에 용해된 아민량을 예시하는 것이다. 다이아그램은 매우 소량의 아민이 금속에 매우 부식성일것임을 명백히 보여준다.
황산 또는 질산으로 구성된 산 린스 용액(Ka>1)을 사용하면 아민이 중화될 것이지만, pH가 4를 넘도록 신중하게 조절되지 않으면, 다수의 금속이 쉽게 부식된다 (도 4 (알루미늄에 대한 푸어바익스 다이아그램) 참조). 이러한 산으로 제조된 후세척 처리 린스의 화학적 활성을 이것의 수명 동안에 조절하는 것은 매우 어려울 수 있다.
수 가지의 광물산, 황산 및 질산은 플레이팅 과정 전에 금속 산화물을 에칭 또는 제거하거나 보일러 파이프로부터 스케일을 제거하기 위해 사용된다. 다수의유기산(시트르산, 글루콘산, 아세트산)은 금속 산화물 또는 스케일의 제거에 사용되기에 충분히 낮은 pH(1.5 내지 2.3)를 갖는다. 금속 구조물을 "피클링(pickle)"하기 위해 다양한 유형의 산을 사용하는 다수의 상업용 제형이 존재한다. 이들 과정은 반도체 산업에서의 공정에 유해할 것이다.
본 발명에 의해 나타나는 바와 같이, 후세척 처리 용액은 금속 산화물을 제거하기 위해 고안된 것이 아니라 산화물층을 보존하기 위해 고안된다. 따라서, 용액의 pH는 금속 산화물 피복을 벗겨냄 없이 아민 불순물을 단지 중화시키기 위해 변형되어야 한다.
이소프로필 알코올 린스 용액은 가연성이고, 화학물질은 SARA 타이틀 III 리스트에 기재되어 있다. 탄산수 린스 용액은 제한된 물중의 CO2용해도로 인하여 제한된 중화력만을 갖는다.
포스트-화학 기계적 평탄화 세척 공정
화학 기계적 평탄화(CMP) 공정을 위한 유전체간 층 및 금속 연마 공정은 모두 미량의 슬러리 및 화학물질을 제거하기 위해 결국 최종 세척 단계를 거쳐야 한다. 공정(즉, 브러쉬 스크러빙 및 린스 사이클)이 간단해 보일지라도, 공정이 단일면, 이중면 스크러빙, 단일 웨이퍼 또는 배치 처리, 스프레이 도구 또는 심지어 침액 탱크를 포함해야 하는 지를 결정하기 위해 상당한 노력이 전개되고 있다. 최근에 후세척 CMP로 작업하는 엔지니어링 그룹은 웨이퍼 세척(슬러리 및 패드 입자 및 금속 오염으로부터의)을 후세척 단계에서 가장 중요한 이슈로 평가하였다. 공정 신뢰도 및 결함 계측학은 그 밖의 2가지 중요한 관심 영역이었다.
잔여 입자 수준은 0.05 입자/㎠ 미만이어야 하며, 이들 입자의 90%는 0.2 미크론 미만의 크기이다. 0.35 미크론의 라인 폭은 0.035 미크론 미만이 되도록 입자가 제거될 것을 요구할 것이다. 불완전한 입자 제거는 웨이퍼 수율을 감소시킬 것이다. 또한 낮은 결함(스크래치) 수준 및 허용가능한 평탄도가 매우 중요할 것이다.
대부분의 팹스(fabs)는 후세척 CMP 단계를 위한 독자적인 사내 기술을 개발하여 왔다. 대부분의 "화학물질"은 첨가된 수산화암모늄 또는 HF와 함께 탈이온수을 포함하는 반면에, 일부 팹스는 전방 말단 공정에서 통상적으로 사용되는 표준 RCA SC-1(NH4OH:H2O2:H2O) 및 SC-2(HCI:H2O2:H2O) 세척 단계를 사용하고 있다.
불순물(입자 및/또는 이온)을 웨이퍼 표면으로부터 제거하기 위한 5가지 메카니즘이 존재한다:
● 용매에 의한 물리적 탈착 : 소수의 강하게 흡수된 물질을 대량의 약하게 흡수된 용매로 대체한다(표면 전하의 상호작용을 변화시킴).
● 산 또는 염기에 의한 표면 전하의 변화: Si-OH 기를 산 중에서 양성자화(포지티브하게 만듦)시키거나, 양성자를 제거함으로써 염기에 의해 네거티브하게 만들 수 있다.
● 이온 착화: 산을 첨가함으로써 흡착된 금속 이온을 제거한다(즉, 이온 교환).
● 불순물의 산화 또는 분해: 금속, 유기 물질 또는 슬러리 입자의 표면의 산화는 불순물과 기판 표면 사이의 화화적 힘을 변화시킬 것이다. 화학 반응은 산화환원 화학물질 또는 자유 라디칼을 통해 일어날 수 있다.
● 표면 에칭 : 불순물 및 기판 표면의 일정한 두께가 용해된다.
실리콘 산화물 화학물질
유전체 연마에 대한 메카니즘은 여전히 개발되고 있지만, 연마 공정은 2가지 동시발생적인 공정: 표면의 소성 변형, 및 실란 결합을 형성하기 위한 수산화물(-OH)에 의한 화학적 공격을 포함하는 기계적 공정을 포함하는 것으로 보인다.
슬러리(콜로이드성 현탁액)에서, pH는 중요하며, 실리콘 산화물 시스템의 경우 pH는 10 내지 11.5 이어야 한다. CMP 사용자는 종래에는 수산화나트륨으로 "완충된" 실리콘 산화물 기재 슬러리를 사용하였지만, 현재는 수산화칼륨 또는 암모늄 용액으로 제형화되고 있는 실리콘 산화물 기재 슬러리를 사용하고 있다. 에칭 속도는 1700Å/분일 수 있다.
pH가 너무 높은 경우, 다핵종은 예상 밖의 방식으로 침전하기 시작할 수 있다. 또한 Si-O-Si 결합(eq.4)을 형성하는 산화 공정의 가능성이 있다.
에칭 속도 및 최종 표면 조건에 영향을 미치는 실리콘 표면의 그 밖의 중요한 특징은 금속 오염 및 가능하게 마이크로 스크래치이다. 전술한 바와 같이, 전형적인 실리콘 표면은 중성 또는 염기성 조건하에서 -OH 기로 종결된다(커버링된다). 실리콘 표면은 친수성이다(표면은 "습윤성"이다). 이들 기는 다수의 가능한 화학적 또는 물리적 흡수 현상에 대해 표면을 활성화시킨다. Si-OH 기는 염을 형성시키고 다양한 금속에 있어서 양성자(H)를 교환하는(이온 교환 수지와 유사함) 약산 효과를 저하시킨다. 이들 SiO-및 Si-OH 기는 또한 Al, Fe, Cu, Sn 및 Ca와 착물을 형성하기 위한 리간드로서 작용할 수 있다. 물론, 표면은 매우 쌍극성이어서, 정전기적 전하는 벌크 용액의 pH, 이온 농도 또는 전하에 따라 축적되거나 분산될 수 있다. 이러한 축적된 표면 전하는 제타 전위로서 측정될 수 있다.
산화물 층의 아래에 있는 실리콘(Si) 표면이 과도한 연마 공정으로 인해 노출되는 경우, 전기화학적인 문제가 발생될 수 있는데, 이는 실리콘이 Cu, Au, Pt, Pb, Hg 및 Ag를 이산화규소 표면에 "플레이팅(plate on)"시키는 적당한 산화환원 전위를 가지기 때문이다. 광은 반도체 Si 물질에서 전자를 "발생"시켜, 구리 이온을 CuO으로 환원시킨다.
CMP 금속 화학물질
이들 후세척 처리 용액이 구리 금속막의 CMP 평탄화를 수행하기 위해 사용될 수 있음이 또한 결정되어 왔다. 이러한 유형의 연마는 금속 표면의 산화 및 에멀션 슬러리에 의한 산화물 표면의 후속 마멸에 좌우된다. 이러한 메카니즘에 있어서,화학물질의 pH는 중요하다. 일반 방정식은 하기와 같다(M은 금속 인자이다):
이상적인 조건하에서 금속 산화물(MOX) 형성 속도(Vf)는 산화물 연마 속도(Vp)와 동일할 것이다(Vf= Vp). pH가 너무 낮은 경우(산성)에, 화학물질은 신속하게 산화물에 침투하여 금속을 공격함으로써(Vf< Vp), 추가 산화물의 형성없이 금속을 노출시킨다. 이는 모든 금속 표면이 높은 지점 및 밸리(valley)에서 동일한 속도로 제거됨을 의미한다.
표면의 평탄화는 달성되지 않는다. 이는 금속 플러그 컨넥터를 평탄화 표면 아래로 리세싱(디슁(dishing))시킬 수 있어서, 결과적으로 양호하지 못한 단계 적용범위 및 가능한 양호하지 못한 접촉 저항성을 초래할 것이다.
pH가 너무 높은 경우(부식성) 산화물 층은 화학물질에 대해 불침투성이 될 수 있고, 금속은 수동적으로 되며(Vf> Vp), 금속 연마율은 낮아진다. 산화물에 대한 선택적인 금속 연마는 일반적으로 20 내지 100 대 1이며, 이는 금속 유형에 좌우된다. 텅스텐 금속은 금속 대 산화물에 대하여 50 미만 대 1의 선택도를 가지며, 구리는 금속 대 산화물에 대하여 140 미만 대 1의 선택도를 가질 수 있다. 에칭 속도는 7000Å/분 이하일 수 있다. 화학적 확산 속도 및 금속 산화물 표면의 유형은 성공적인 평탄화 공정에 중요하다. 상세한 메카니즘은 카우프만, 에프(Kaufman,F.)의 문헌[J.Electrochem. Soc; 138(11), p 3460, 1991]에 제안되어 있다.
구리막은 구리가 연질 금속이며 슬러리 입자에 의해 쉽게 손상되기 때문에 까다로운 문제를 제공한다. 화학적 첨가제는 이러한 결함을 에칭하는 데에 매우 중요할 수 있다.
화학물질의 유형
다양한 화학물질이 이러한 후세척 처리 제형에서 사용될 수 있다.
후세척 처리 화학물질에서 사용될 수 있는 다양한 유기 화학물질이 존재한다. 유기산의 유형은 매우 중요하다. 일부 가능한 산 및 이들의 pKa는 하기와 같다:
산의 일반 구조식은 하기와 같다:
상기 구조식에서,
X는 -OH, -NHR, -H, -할로겐, -CO2H 및 -CH2-CO2H, -CHOH-CO2H 이고,
R은 일반적으로 지방족 화합물, H 또는 방향족 화합물이다.
농도는 1 내지 25 중량%일 수 있다. 중요한 인자는 수용액중의 임의의 첨가제와의 산 및 염기 생성물의 용해도이다.
염기
완충 후세척 처리액의 pH를 조정하기 위한 부식성 성분은 임의의 보편적인 염기, 즉, 나트륨, 칼륨, 마그네슘 등의 수산화물로 이루질 수 있다. 주요 문제점은 이들 염기가 이동성 이온을 최종 제형에 도입시킨다는 것이다. 이동성 이온은 반도체 산업에서 현재 제조되는 컴퓨터 칩을 쉽게 파괴할 수 있다.
그 밖의 염기로는 수산화테트라메틸암모늄(TMAH) 또는 콜린(둘은 모두 4차 아민이다) 또는 수산화암모늄이 포함될 수 있다.
또 다른 염기로는 유리 염기로서 그 밖의 히드록실아민염(황산염, 질산염, 염화물 등)과 함께 사용될 수 있는 히드록실아민이 있다. 그 밖의 염기로는 히드라진 또는 이것의 염이 포함될 수 있다.
산화제
산화제를 혼입시키는 것은 본 발명의 중요한 부분의 하나이다. 금속 구조물에서 후에칭 잔여물이 세척된 경우 또는 CMP 평탄화 과정후에, 금속 표면의 산화물 피복은 손상(손실)되었을 것이다. 손상을 증가시키고, 가능하게는 디바이스를 무익하게 할 수 있는 추가의 제조 과정 전에, 이러한 손상된 표면을 복구하는 것이 유리하다. 때때로, IPA중의 과산화수소의 혼합물은 별도의 공정 단계로서 사용되어 왔다. 일부의 사용자는 수 초에서 수 분 동안 웨이퍼를 과산화수소에 적실 것이다. 단점은 별도의 조가 통상의 린스 조 옆에 유지되어야 한다는 것이다.
산화제가 린스 조 중의 하나에 포함될 수 있다면 이로울 것이다. 과산화수소는 가장 보편적인 상업적으로 구입가능한 산화제중의 하나이다. 과산화수소는 짧은 저장 수명을 가지며, 너무 침식성인 산화제일 수 있어서, 후속 처리 단계를 간섭할 수 있는 두꺼운 금속 산화물을 형성할 것이다.
과산화수소(산성) 및 히드록실아민(산 및 염기중의)에 대한 산화환원 전위(SHE에서의 EV)는 하기와 같다:
다행히도, 환원 조건(염기성 용액)하에서 히드록실아민을 사용하는 경우, 제로 산화 상태로 환원되는 금속 이온은 거의 없다. 이것은 금속 입자에 의해 물 표면이 오염되는 것을 방지하기 때문에 CMP 공정에서 중요하다.
산화환원제 이외에도, 암모니아와 같은 히드록실아민은 Al(SO4)2*NH2OH*H2O 및 CU(X2)*NH2OH*H2O를 포함하는 다수의 금속과 착염을 형성할 수 있다.
히드록실아민 유형의 화합물을 사용하는 또 다른 중요한 잇점은 이들의 분해 생성물이다. 용액 pH, 금속 이온 및 농도에 따라, 히드록실아민은 물, 질소, 암모니아 및 N2O로 분해될 것이다. 질소의 생성은 8을 초과한 pH에서의 느린 내부 산화환원 반응을 통해서도 일어난다.
양호한 염기 이외의 히드록실아민 유리 염기는 산성 조건하에서 적정한 산화제일 것이다. 이러한 산화제는 양호한 저장 수명을 가지며, 정상 처리 조건(30분 이하의 조건)하에서 두꺼운 산화제막을 형성하지 않을 것이다.
히드록실아민은 더욱 선택적인(조절가능한) 산화제 및 환원제이다. 이러한 이중적 성능은 pH를 산에서 염기성 매질로 시프팅시킴으로써 달성될 수 있으며, 즉, 하기와 같다:
그 밖의 산화제로는 암모늄 퍼옥시디술페이트, 퍼아세트산, 우레아 히드로퍼옥사이드 및 나트륨 퍼카르보네이트 또는 나트륨 퍼보레이트가 포함될 수 있다.
농도는 0.5 내지 30 중량%일 수 있다.
킬레이터
본 발명의 추가의 특징은 전이 금속 착물을 형성하는 소량의 금속 이온 킬레이터를 첨가하는 것이다. 킬레이터로는 디-, 트리-, 테트라-, 작용성 그룹이 포함될 수 있는데, 즉, EDTA, 시트르산, 옥심, 락트산, 8-히드록시 퀴놀린 및 산성 조건하에서 금속 이온과 킬레이팅되는 그 밖의 널리 공지된 제제가 있다. 그 밖의 가능한 제제로는 폴리에틸렌 산화물, 폴리에틸렌이민 및 크라운 에테르가 있다. 이들 후자의 2가지 화합물은 이동성 이온(Li, Na, K 및 특정한 알칼리 토류 이온)에 대해 가변 친화력을 갖는다.
농도는 바람직하게는 0.01 내지 10 중량% 이다.
계면활성제
계면활성제(비이온성, 음이온성 및 양이온성)는 본 발명의 제형에 포함될 수있다. 후세척 처리 용액에 대한 표면 장력이 약 70 다인/cm 일지라도, 표면 장력이 감소되어야 하는 특수한 경우가 있을 수 있다.
아이디어 1
후세척 처리 용액은 1 미만의 해리 상수를 가지며, 다양한 유기산을 함유할 것이다. 예로는 포름산, 아세트산, 프로판산, 부티르산 또는 이작용성 또는 삼작용성 수용성 유기산, 즉, 시트르산 등이 있다.
후세척 처리 조가 소량의 아민(웨이퍼의 1개 또는 2개 보우트 로우드)을 단지 중화시킬 수 있는 경우, 웨이퍼 세척 공정에 대한 소유 비용을 증가시킬 것인데, 이는 용액을 자주 교환해야 하기 때문이다. 이러한 결과는 하기의 실시예에 의해 해결될 수 있다.
실시예 1
후세척 처리의 "완충력" 또는 아민 중화력을 결정하기 위한 실험을 수행하였다. 화학물질은 용액이 pH 7에 도달할 때까지 아민을 중화시키도록 디자인하였다. pH가 이 값을 넘게 되면(더욱 부식성이 되면), 금속 부식의 가능성이 증가한다.
시험에 대한 일반적인 과정은 마그네틱 교반 막대가 구비된 비이커중에서 100gm 샘플의 후세척 처리 용액(89부의 물, 8부의 시트르산 및 3부의 50% 히드록실아민)을 사용하는 것이었다. pH는 2포인트 눈금을 갖는 피셔(Fisher) pH 미터로 모니터링하였다.
다양한 아민 화학물질을 pH가 7에 도달할 때까지 첨가하였다.
아민 및 아민/히드록실아민 화학물질은 EKC 테크놀로지, 인코포레이티드(Hayward, CA)에 의해 시판된다.
결과는 조성물 D가 첨가되면 결코 pH 7을 넘게 시프팅시키지 않을 것이며, 조성물 E는 후세척 처리에 대해 유사하지만 보다 약한 효과를 갖는다.
조성물 A, B 및 C에 대한 데이터는 잠재적인 중화력을 계산하기 위해 사용될 수 있는데, 전형적인 린스 조가 6.5 갤론(24.6 리터)를 갖는 경우, 첨가된 아민의 양은 2.6 내지 3.2 리터일 것이다. 따라서, 25 웨이퍼 보우트 당 약 22 gm의 드랙아웃 아민이 존재하는 경우, 웨이퍼 보우트의 수는 120 내지 145 보우트일 것이다.
아이디어 2
후세척 처리의 "완충능력"을 시험하고, 용액이 금속막을 에칭시킬 것임을 나타내는 또 다른 방법은 실시예 1에서 사용된 후세척 처리 용액의 샘플을 취하고, 8 내지 10 중량%의 다양한 유형의 히드록실아민 및/또는 아민 화학물질을 첨가하는 것이다. 블랭킷 금속 웨이퍼를 "도핑된(doped)" 용액중에서 30분 동안 침액시킨 다음, 탈이온수 중에서 린싱시켰다. 막의 저항성(Ω/㎠)을 시험 전에 및 후에 측정하였다. 실시예 1의 표 III으로부터의 EKC 테크놀로지 화학물질의 중랑%는 괄호안에 표시되어 있다.
실시예 2
패턴화된 웨이퍼를, 히드록실아민 및/또는 아민 습윤 화학물질 드랙아웃의 효과를 시뮬레이팅하기 위해 아민 습윤 화학물질로 도핑시킨 실시예 1에서 사용된 후세척 처리 용액으로 시험하였다. 도 5A는 대조군으로서 임의의 습윤 화학물질로 처리하기 전의 패턴화된 웨이퍼를 나타내는 것이다. 패턴화된 웨이퍼는 탈이온수로 린싱시키기 전에 30분 동안 도핑된 용액중에 침액시켰다. 도 5B는 10 중량%의 용액 E(표 III)가 첨가된 실시예 1의 후세척 처리 용액에 의해 수득된 결과를 도시한 것이다. 도 5C는 8 중량%의 용액 C(표 III)가 첨가된 실시예 1의 후세척 처리 용액에 의해 수득된 결과를 도시한 것이다. 이러한 SEM 사진에는 다양한 히드록실 아민 및/또는 아민 화학물질로 "도핑된" 후세척 처리 용액을 통과한 후에 금속 표면의 공격이 없음을 나타났다.
아이디어 3
현재의 텅스텐 금속 CMP 평탄화 화학물질은 과산화수소 또는 제 2철 질산염용액중의 하나이다. 금속 산화물 막을 손상시키는 것 이외에, 이들 화학물질은 금속막상에 이동성 및 전이 금속 이온을 도입시킬 수 있다.
후세척 처리 용액을 후CMP 세척 처리 화학물질로서 사용할 수 있다. CMP 금속 평탄화 동안에, 화학적-슬러리 용액은 금속 상의 천연 산화물 막을 손상시킬 것이다. 이러한 금속 산화물 층의 복구는 안정하고, 이동성 이온 오염에 기여하지 않을 것이고, 장치를 "오손"시키기 않을 것이며, 일반적으로 환경 친화적인 산화성 시약을 필요로 한다. 과산화수소 시스템은 안정하지 않고, 면밀하게 모니터링되지 않으면 수 미크론 두께의 산화물을 형성할 것이다.
산성 용액 중의 히드록실아민(또는 이것의 염)은 적정 산화제이다 (Eo = -0.05V).
실시예 3
하기의 데이터는 유기산으로 구성되고 최종 pH 4.5까지 히드록실아민 또는 수산화암모늄으로 완충된 린스의 효과를 나타낸다.
티타늄 쿠폰(1.33g) 및 4000Å을 갖는 Ti 웨이퍼를 실온에서 24시간 동안 용액중에 위치시켰다. 샘플을 IPA 및 물로 린싱시킨 다음, 중량을 측정하였다.
데이터는 히드록실아민 완충 용액을 통해 처리된 금속 샘플이 에칭되지 않고 (중량이 손실됨), 중량이 약간 증가함(산화물 두께의 증가)을 나타낸다.
그 밖의 후처리 용액을 또한 시험하였다.
티타늄 쿠폰 및 Ti 웨이퍼(4000Å)을 30분 동안 65℃까지 가열시킨 실시예 1의 용액 C 중에 위치시켰다. 그런 다음, 샘플을 IPA 용액 또는 처리 용액으로 옮겼다.
데이터는 용액 C 화학물질이 IPA 또는 처리 용액내에 옮겨진다고 하더라도부효과(중량 손실)는 발생하지 않았음을 나타낸다. 당분야외 숙련자는 부식성 수산화물 이온을 촉진시키는 물이 존재하지 않기 때문에 IPA 린스로부터의 효과를 예측하지 못할 것이지만, 수성 후세척 처리 용액은 용액 C 화학물질로부터 생성된 임의의 히드록실/아민 종을 성공적으로 중화시킬 수 있다. 따라서, 중량 손실은 없었다.
아이디어 4
이러한 후세척 처리 용액의 또 다른 중요한 특징은 웨이퍼 상의 금속 형상이 아민 세척 화학물질을 이탈한 후에도 에칭 또는 부식되지 않아야 한다는 것이다. 이것은 후CMP 세척 공정에 관해 상기에 표현된 아이디어와 유사하다.
본 아이디어를 다양한 금속으로 피복된 웨이퍼(일반적으로, 3000 내지 5000Å)로 시험하였다.
실시예 4
Al/(0.5%) Cu, Ti, TiW, W, Ta 및 Cu의 다양한 금속 블랭킷 웨이퍼 (3000 내지 5000Å)를 실온 조건에서 실시예 1의 후세척 처리 용액으로 시험하였다. 용액을 1시간 시험 동안에 교반하였다.
에칭 웨이퍼(TiW를 제외함)를 블랭킷 금속막 두께를 결정하기 위하여 프로메트릭스(Prometrix) 4 포인트 프로브로 측정하였다. 그런 다음, 웨이퍼를 마그네틱 교반 막대가 구비된 비이커에서 화학물질 중에 각각 침액시켰다. 웨이퍼를 탈이온수로 린싱시킨 다음, 질소로 건조시켰다. 웨이퍼를 4 포인트 프로브에 의해 다시 측정하였다.
4 포인트 프로브 측정은 Al/(0.5%)Cu, Ti, W 및 Ta에 대한 에칭 속도가 1 Å/분 미만이었음을 나타낸다. 구리 웨이퍼의 에칭 속도는 17Å/분이었다.
TiW 웨이퍼는 프로브에 의한 해독을 제공하지 않을 것이기 때문에, 최대로 0.01mg에 가깝게 중량이 나갔다. 시험의 종결시에, 웨이퍼는 0.01 mg이 증가하였다.
측정의 정확성 내에서, 4포인트 프로브 측정의 정확성이 최대 1Å/분에 가깝기 때문에, 표 IX에 구리를 제외한, 시험된 금속에 대한 결과가 요약되어 있다.
구리 웨이퍼(100Å의 Ti에 대해 3000Å) 결과를 제외하고, 그 밖의 에칭 속도는 상기의 이론적 검토로부터 예측된 바와 같다. 후세척 처리 용액(실시예 1 조성물)은 금속 상의 산화물 막을 공격하지 않아야 하는 pH 4 내지 4.5로 완충되도록 고안되었다. 이들 결과는 Ti 웨이퍼, Ti 쿠폰 및 Al 쿠폰에 의해 상기에 주어진 실시예와 일치하였다.
구리 웨이퍼의 에칭 속도가 17 Å/분이라고 하더라도, 이것은 전형적인 5분의 린싱 동안에 과다하지 않으며, 전체 손실은 단지 85Å일 것이다.
실시예 5
반도체 공정에서의 BEOL(Back End of the Line, 금속 침전후)에서 흔히 직면하는 후세척 처리 용액과 기판 사이의 어떠한 적합실 문제가 존재할 것인 지를 아는 것은 중요하다. 이들 기판은 금속(W, Ti, Al 및 가능하게는 Cu)일 수 있으며, 또한 일반적으로 붕소 인 실리케이트 유리(BPSG)(붕소 및 인에 대해 약 5 중량%) 및 테트라에틸오르토실리케이트(TEOS)인 유전체 물질일 수 있다.
블랭킷 3" BPSG(3000Å, 치밀화됨) 및 3" TEOS(5000Å) 웨이퍼에 대한 막 두께를 거트너 사이언티픽(Geartner Scientific) L115 일립소미터로 측정하였다. 두 웨이퍼를 실온에서 60분 동안 실시예 1에서 사용된 후세척 처리 용액중에 침액시킨 다음, 탈이온수로 린싱시키고, 질소로 건조시켰다. 그런 다음, 샘플을 막 두께 결정을 위해 회수하였다.
실행의 제한내에서, 막 두께의 유의할 만한 변화는 없었다. 초기 평균 TEOS 두께 값은 5119Å(표준편차 114.6Å, 3σ)이었고, 최종 평균 값은 5128Å(표준편차 145.3Å, 3σ) 이었다. BPSG 평균 두께 값(이전)은 3095Å(표준편차 215Å, 3σ)이었다.
아이디어 6
본 발명의 또 다른 중요한 특징은 웨이퍼 표면 상에 흡수될 수 있는 특정한 전이 및 이동성 이온의 수준을 감소 또는 저하시키는 능력이다. 이들 이온은 세척 화학물질에서 불순물로서 또는 공정 장비로부터의 미립자 또는 에칭 공정 동안에 발생되는 재증착 플라스마 에칭 잔여물로서 웨이퍼 상에 도입된다.
웨이퍼 표면으로부터 전이 및 이동성 금속 양이온을 제거시키기 위한 이러한킬레이트화 효과를 예시하는 1가지 방법은 용액중에 침액시키기 전에 및 후에 후세척 처리 용액을 모니터링하는 것이다.
실시예 6
3000Å PBSG 또는 5000Å TEOS를 갖는 3인치 웨이퍼를 약 100ppb의 나트륨, 칼륨, 칼슘 및 철(III)을 포함하는 용액에 적셨다. 그런 다음, 웨이퍼를 질소 흐름으로 건조시켰다. 그런 다음, 웨이퍼를 실시예 1이 후세척 처리 용액중에 20분 동안 침액시켰다. 그런 다음, 용액의 샘플(전 및 후)을 GFAA에 의해 분석하였다.
결과는 Na, K, Ca 및 Fe 양이온이 각각의 시험후에 용액 중에서 증가하였음을 나타낸다. 수용액과 킬레이트제(시트르산 및 히드록실아민)의 조합물은 금속 오염물질을 제거하는 것을 돕는다. 최종 5개 금속(Cu 또는 Ni)은 전형적인 배경 값으로 주어진다.
실시예 7
5000Å 열 실리콘 이산화물을 갖는 3인치 웨이퍼를 각각 약 100ppb의 나트륨, 칼륨, 칼슘 및 철을 포함하는 용액중에 적셨다. 웨이퍼를 질소 흐름으로 건조시켰다. 그런 다음, 웨이퍼를 섹션으로 절단한 후, 탈이온수 또는 실시예 1의 후 세척 처리 용액중에 침액시켰다. 샘플을 실온에서 20분 동안 침액시켰다. 그런 다음, 샘플을 분리하고, IPA중에서 린싱시키고, 질소 흐름으로 건조시켰다. 샘플을 TXRF(Total X-ray Fluorescence)에 의해 분석하였다 (110원자/㎠). 이러한 조건하에서는, 칼륨, 칼슘 및 철만이 측정될 수 있었다. 일반적으로 나트륨 농도(원자/㎠)는 칼륨 값과 유사할 것으로 가정된다.
도 6은 상기 결과의 그래프로서, 후세척 처리 용액으로 수득된 이동성 이온 조절의 현저한 개선을 나타내며, 탈이온수 린싱은 실제로 존재하는 이동성 이온의 양을 증가시킨다.
실시예 8
5000Å BPSG 및 3000Å TEOS를 갖는 3인치 웨이퍼를 각각 약 100ppb의 나트륨, 칼륨, 칼슘 및 철을 포함하는 용액에 적셨다. 웨이퍼를 질소 흐름으로 건조시켰다. 그런 다음, 웨이퍼를 섹션으로 절단한 후, 탈이온수 또는 실시예 1의 후세척 처리 용액에 침액시켰다. 샘플을 실온에서 20분 동안 침액시켰다. 그런 다음, 샘플을 분리하고, IPA 중에서 린싱시키고, 질소 흐름으로 건조시켰다. 샘플을 TXRF(Total X-ray Fluorescence)에 의해 철에 대해 분석하였다. (단위는 110원자/㎠)
도 7 및 8은 수득된 결과의 그래프로서, BPSG 결과의 경우에는 이동성 이온의 퍼센트 변화로서 표현되고, TEOS 결과의 경우에는 1010원자/㎠로서 표현된다.
결과로서 나타난 바와 같이, 실시예 1의 후세척 처리 용액을 통해 처리된 웨이퍼는 웨이퍼 표면 상에 현저하게 적은 잔여물 금속 이온이 존재한다.
요약하면, 본 발명의 후세척 처리 용액은 수성이고, 비가연성이고, 탈이온수 배수 적합성(특수한 EPA 취급이 불필요함)이다. 본 발명의 후세척 처리 용액은 웨이퍼 표면으로부터 미량의 아민 및 염기성(pH 7 초과) 화학물질을 효율적으로 린싱시켜, 아민 기재 화학물질 및 탈이온수 린스로부터의 과량의 OH-의 부식 가능성이 제거된다. 본 발명의 후세척 처리 용액은 본질적으로 전술된 동일한 조건하에서 사전확산 세척, 사전이식 세척 및 사전증착 세척후에 사용될 수 있다. 용액은 후-화학 기계적 연마 세척을 위해, 및 구리 기판을 위한 화학 기계적 연마 용액으로서 또한 사용될 수 있다.
본 발명은 이제 충분히 설명되었지만, 당분야의 숙련자에게는 첨부된 청구의 범위의 사상 또는 범주를 벗어남이 없이 본 발명에 대해 다수의 변화 및 변형이 이루어질 수 있음이 자명할 것이다.

Claims (36)

  1. 금속 또는 유전체 표면으로부터 이전 공정 단계에서 도포된 화학물질 잔여물을 제거하고, 화학물질 잔여물로부터의 추가의 화학 작용을 중단시키기 위한 조성물로서, pH가 3.5 내지 7인 수용액을 포함하며, 하기 성분 (a) 및 (b)를 함유하는 조성물:
    (a) 하기의 일반식을 갖는 단일작용성, 이작용성 또는 삼작용성 유기산:
    (상기 식에서, X는 -OH, -NHR, -H, -할로겐, -CO2H 또는 -CH2-CO2H, CHOH-CO2H이고, R은 수소 또는 1 내지 20개의 탄소 원자를 갖는 알킬, 아릴, 알카릴 또는 아랄킬기이다) ; 및
    (b) 완충량의 4차 아민, 수산화암모늄, 히드록실아민, 히드록실아민염, 히드라진 또는 히드라진염 염기.
  2. 제 1항에 있어서, 유기산이 1 내지 25 중량%의 양으로 존재함을 특징으로 하는 조성물.
  3. 제 2항에 있어서, 4차 아민이 수산화테트라메틸암모늄 또는 콜린임을 특징으로 하는 조성물.
  4. 제 1항에 있어서, 조성물의 pH가 4 내지 6임을 특징으로 하는 조성물.
  5. 제 4항에 있어서, 유기산이 2 내지 11 중량%의 양으로 존재함을 특징으로 하는 조성물.
  6. 제 1항에 있어서, 성분 (c)인 전이 금속 이온 및 이동성 이온과 착물을 형성하는 킬레이트제를 추가로 포함함을 특징으로 하는 조성물.
  7. 제 6항에 있어서, 킬레이트제가 에틸렌 디아민 테트라아세트산, 옥심, 8-히드록시 퀴놀린, 폴리알킬렌폴리아민 또는 크라운 에테르임을 특징으로 하는 조성물.
  8. 제 1항에 있어서, 성분 (c)인 암모늄 퍼옥시디술페이트, 퍼아세트산, 우레아 히드로퍼옥사이드, 나트륨 퍼카르보네이트 또는 나트륨 퍼보레이트 산화제를 추가로 포함함을 특징으로 하는 조성물.
  9. 금속 또는 유전체 표면을 화학물질 잔여물을 제거하기에 충분한 시간 동안 제 1항에 따른 조성물과 접촉시키는 것을 포함하여, 금속 또는 유전체 표면으로부터 화학물질 잔여물을 제거하기 위한 방법.
  10. 구리 표면에 제 1항에 따른 조성물을 도포하고, 조성물의 존재하에서 표면을 연마시키는 것을 포함하여, 구리 표면을 화학 기계적으로 연마시키는 방법.
  11. 금속 또는 유전체 표면을 화학물질 잔여물을 제거하기에 충분한 시간 동안 pH가 3.5 내지 7인 수성 조성물과 접촉시키는 것을 포함하여, 금속 또는 유전체 표면으로부터 화학물질 잔여물을 제거하기 위한 방법.
  12. 제 11항에 있어서, 수성 조성물이 단일작용성, 이작용성 또는 삼작용성 유기산을 함유함을 특징으로 하는 방법.
  13. 제 11항에 있어서, 수성 조성물의 pH가 완충량의 4차 아민, 수산화암모늄,히드록실아민, 히드록실아민 염, 히드라진 또는 히드라진염 염기에 의해 조정됨을 특징으로 하는 방법.
  14. 제 11항에 있어서, 수성 조성물이 전이 금속 이온 및 이동성 이온과 착물을 형성하는 킬레이트제를 포함함을 특징으로 하는 방법.
  15. 제 14항에 있어서, 킬레이트제가 에틸렌 디아민 테트라아세트산, 옥심, 8-히드록시 퀴놀린, 폴리알킬렌폴리아민 또는 크라운 에테르임을 특징으로 하는 방법.
  16. 제 11항에 있어서, 수성 조성물이 금속막 산화물 층을 유지시키는 산화제를 포함함을 특징으로 하는 방법.
  17. 제 16항에 있어서, 산화제가 암모늄 퍼옥시디술페이트, 퍼아세트산, 우레아 히드로퍼옥사이드, 나트륨 퍼카르보네이트 또는 나트륨 퍼보레이트를 포함함을 특징으로 하는 방법.
  18. 제 11항에 있어서, 수성 조성물의 pH가 4 내지 6임을 특징으로 하는 방법.
  19. 구리 표면에 pH가 3.5 내지 7인 수성 조성물을 도포하고, 조성물의 존재하에서 표면을 연마시키는 것을 포함하여, 구리 표면을 화학 기계적으로 연마시키는 방법.
  20. 제 19항에 있어서, 수성 조성물이 단일작용성, 이작용성 또는 삼작용성 유기 산을 함유함을 특징으로 하는 방법.
  21. 제 19항에 있어서, 수성 조성물의 pH가 완충량의 4차 아민, 수산화암모늄, 히드록실아민, 히드록실아민염, 히드라진 또는 히드라진염 염기에 의해 조정됨을 특징으로 하는 방법.
  22. 제 19항에 있어서, 수성 조성물이 전이 금속 이온 및 이동성 이온과 착물을 형성하는 킬레이트제를 포함함을 특징으로 하는 방법.
  23. 제22항에 있어서, 킬레이트제가 에틸렌 디아민 테트라아세트산, 옥심, 8-히드록시 퀴놀린, 폴리알킬렌폴리아민 또는 크라운 에테르임을 특징으로 하는 방법.
  24. 제 19항에 있어서, 수성 조성물이 금속 필름 산화물 층을 유지시키는 산화제를 포함함을 특징으로 하는 방법.
  25. 제 24항에 있어서, 산화제가 암모늄 퍼옥시디술페이트, 퍼아세트산, 우레아 히드로퍼옥사이드, 나트륨 퍼카르보네이트 또는 나트륨 퍼보레이트를 포함함을 특징으로 하는 방법.
  26. 제 19항에 있어서, 수성 조성물의 pH가 4 내지 6임을 특징으로 하는 방법.
  27. 제 1항에 있어서, 히드록실아민이 완충량으로 존재함을 특징으로 하는 조성물.
  28. 금속 또는 유전체 표면으로부터 이전 공정 단계에서 도포된 화학물질 잔여물을 제거하고 화학물질 잔여물로부터의 추가의 화학 작용을 중단시키기 위한 조성물로서, pH가 3.5 내지 7인 수용액을 포함하며, 하기 성분 (a) 및 (b)를 함유하는 조성물:
    (a) 포름산, 아세트산, 프로피온산, n-부티르산, 이소부티르산, 벤조산, 아스코르브산, 글루콘산, 말산, 말론산, 옥살산, 숙신산, 타르타르산, 시트르산 및 갈산으로 이루어진 군으로부터 선택된 단일작용성, 이작용성 또는 삼작용성 유기 산;및
    (b) 완충량의 4차 아민, 수산화암모늄, 히드록실아민, 히드록실아민염, 히드라진 또는 히드라진염 염기.
  29. 제 28항에 있어서, 유기산이 시트르산임을 특징으로 하는 조성물.
  30. 제 29항에 있어서, 조성물의 pH가 4 내지 6임을 특징으로 하는 조성물.
  31. 제 30항에 있어서, 시트르산이 2 내지 11 중량%의 양으로 존재함을 특징으로 하는 조성물.
  32. 제31항에 있어서, 히드록실아민이 완충량으로 존재함을 특징으로 하는 조성물.
  33. 제 28항에 있어서, 유기산이 1 내지 25 중량%의 양으로 존재함을 특징으로 하는 조성물.
  34. 제 28항에 있어서, 성분 (c)인 암모늄 퍼옥시디술페이트, 퍼아세트산, 우레아 히드로퍼옥사이드, 나트륨 퍼카르보네이트 또는 나트륨 퍼보레이트 산화제를 추가로 포함함을 특징으로 하는 조성물.
  35. 제 28항에 있어서, 성분 (c)인 전이 금속 이온 및 이동성 이온과 착물을 형성하는 킬레이트제를 추가로 포함함을 특징으로 하는 조성물.
  36. 제 35항에 있어서, 킬레이트제가 에틸렌 디아민 테트라아세트산, 옥심, 8-히록시 퀴놀린, 폴리알킬렌 폴리아민 또는 크라운 에테르임을 특징으로 하는 조성물.
KR19980708205A 1997-02-14 1998-02-14 후세척처리방법 KR100355212B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8/801,911 1997-02-14
US08/801,911 US5981454A (en) 1993-06-21 1997-02-14 Post clean treatment composition comprising an organic acid and hydroxylamine
US08/801,911 1997-02-14
PCT/US1998/002794 WO1998036045A1 (en) 1997-02-14 1998-02-14 Post clean treatment

Publications (2)

Publication Number Publication Date
KR20000064914A KR20000064914A (ko) 2000-11-06
KR100355212B1 true KR100355212B1 (ko) 2002-12-26

Family

ID=25182341

Family Applications (1)

Application Number Title Priority Date Filing Date
KR19980708205A KR100355212B1 (ko) 1997-02-14 1998-02-14 후세척처리방법

Country Status (8)

Country Link
US (2) US5981454A (ko)
EP (1) EP0909311B1 (ko)
JP (1) JP3850039B2 (ko)
KR (1) KR100355212B1 (ko)
AT (1) ATE244751T1 (ko)
DE (1) DE69816219T2 (ko)
TW (1) TW396202B (ko)
WO (1) WO1998036045A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150054471A (ko) * 2013-11-12 2015-05-20 주식회사 동진쎄미켐 화학적 기계적 연마 후 세정용 조성물

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040018949A1 (en) * 1990-11-05 2004-01-29 Wai Mun Lee Semiconductor process residue removal composition and process
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US6121217A (en) 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US5645737A (en) * 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6066609A (en) * 1997-07-31 2000-05-23 Siemens Aktiengesellschaft Aqueous solution for cleaning a semiconductor substrate
DE19733391C2 (de) * 1997-08-01 2001-08-16 Siemens Ag Strukturierungsverfahren
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
US6294027B1 (en) * 1997-10-21 2001-09-25 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6479443B1 (en) 1997-10-21 2002-11-12 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of copper film
US6165956A (en) * 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6231677B1 (en) 1998-02-27 2001-05-15 Kanto Kagaku Kabushiki Kaisha Photoresist stripping liquid composition
JP3111979B2 (ja) * 1998-05-20 2000-11-27 日本電気株式会社 ウエハの洗浄方法
US6468909B1 (en) * 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
JP3003684B1 (ja) * 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
US6572453B1 (en) 1998-09-29 2003-06-03 Applied Materials, Inc. Multi-fluid polishing process
GB2342727A (en) * 1998-10-12 2000-04-19 Ekc Technology Ltd Composition to remove resists and tp inhibit titanium corrosion
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
TW467953B (en) * 1998-11-12 2001-12-11 Mitsubishi Gas Chemical Co New detergent and cleaning method of using it
US6878213B1 (en) * 1998-12-07 2005-04-12 Scp Global Technologies, Inc. Process and system for rinsing of semiconductor substrates
WO2000037217A1 (en) * 1998-12-21 2000-06-29 Lam Research Corporation Method for cleaning an abrasive surface
US6103680A (en) * 1998-12-31 2000-08-15 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition and method for removing photoresist and/or plasma etching residues
US6387188B1 (en) * 1999-03-03 2002-05-14 Speedfam-Ipec Corporation Pad conditioning for copper-based semiconductor wafers
JP4516176B2 (ja) * 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US6531414B1 (en) * 1999-05-05 2003-03-11 The United States Of America As Represented By The National Security Agency Method of oxidizing strain-compensated superlattice of group III-V semiconductor
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6328905B1 (en) * 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
JP4188598B2 (ja) * 1999-08-13 2008-11-26 キャボット マイクロエレクトロニクス コーポレイション 停止化合物を伴う研磨系及びその使用方法
US6855266B1 (en) 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
US6482748B1 (en) * 1999-09-03 2002-11-19 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching
US6395693B1 (en) * 1999-09-27 2002-05-28 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
US6537381B1 (en) * 1999-09-29 2003-03-25 Lam Research Corporation Method for cleaning and treating a semiconductor wafer after chemical mechanical polishing
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
US6347978B1 (en) * 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6294470B1 (en) 1999-12-22 2001-09-25 International Business Machines Corporation Slurry-less chemical-mechanical polishing
WO2001058643A1 (en) * 2000-02-11 2001-08-16 Nu Tool, Inc. Modified plating solution for plating and planarization and process utilizing same
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
GB0009112D0 (en) * 2000-04-12 2000-05-31 Ekc Technology Ltd Inhibition of titanium corrosion
US6858540B2 (en) 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6436832B1 (en) 2000-05-23 2002-08-20 Applied Materials, Inc Method to reduce polish initiation time in a polish process
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
WO2002004233A1 (en) 2000-07-10 2002-01-17 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6498131B1 (en) 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6508953B1 (en) * 2000-10-19 2003-01-21 Ferro Corporation Slurry for chemical-mechanical polishing copper damascene structures
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US20040011991A1 (en) * 2001-06-13 2004-01-22 Markle Richard J. Use of a gettering agent in a chemical mechanical polishing and rinsing operation and apparatus therefor
US6653265B2 (en) * 2001-06-20 2003-11-25 Cornell Research Foundation, Inc. Removable marking system
US6485355B1 (en) 2001-06-22 2002-11-26 International Business Machines Corporation Method to increase removal rate of oxide using fixed-abrasive
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
DE10133727A1 (de) * 2001-07-11 2003-01-23 Borchers Gmbh Verwendung von Mischungen spezieller organischer Verbindungen als Hautverhinderungsmittel in lufttrocknenden Lacken
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6821881B2 (en) * 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
KR100434491B1 (ko) * 2001-08-17 2004-06-05 삼성전자주식회사 레지스트 또는 식각 부산물 제거용 조성물 및 이를 이용한레지스트 제거 방법
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
US6838015B2 (en) 2001-09-04 2005-01-04 International Business Machines Corporation Liquid or supercritical carbon dioxide composition
KR100546169B1 (ko) * 2001-09-21 2006-01-24 주식회사 하이닉스반도체 포토레지스트 제거용 용액 조성물
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US7468105B2 (en) * 2001-10-16 2008-12-23 Micron Technology, Inc. CMP cleaning composition with microbial inhibitor
JP3667273B2 (ja) * 2001-11-02 2005-07-06 Necエレクトロニクス株式会社 洗浄方法および洗浄液
US6736701B1 (en) * 2001-11-20 2004-05-18 Taiwan Semiconductor Manufacturing Company Eliminate broken line damage of copper after CMP
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
US7049237B2 (en) * 2001-12-21 2006-05-23 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases
US20030119316A1 (en) * 2001-12-21 2003-06-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using oxidizing agents
US6884723B2 (en) * 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US6730592B2 (en) * 2001-12-21 2004-05-04 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US7121926B2 (en) 2001-12-21 2006-10-17 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP4221191B2 (ja) * 2002-05-16 2009-02-12 関東化学株式会社 Cmp後洗浄液組成物
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US20030224958A1 (en) * 2002-05-29 2003-12-04 Andreas Michael T. Solutions for cleaning polished aluminum-containing layers
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
TW559866B (en) * 2002-08-05 2003-11-01 Macronix Int Co Ltd Method of removing ALF defects after pad etching process
JP2005535784A (ja) * 2002-08-19 2005-11-24 伊默克化學科技股▲ふん▼有限公司 清浄液
US7037174B2 (en) * 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
US7235188B2 (en) * 2002-10-22 2007-06-26 Ekc Technology, Inc. Aqueous phosphoric acid compositions for cleaning semiconductor devices
JP2004181452A (ja) * 2002-11-30 2004-07-02 Matsushita Electric Ind Co Ltd 洗浄装置、洗浄方法および洗浄剤
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
JP4267331B2 (ja) * 2003-01-14 2009-05-27 株式会社荏原製作所 基板の処理方法及びエッチング液
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
JP2007531992A (ja) * 2004-03-30 2007-11-08 ビーエーエスエフ アクチェンゲゼルシャフト エッチング残渣を除去するための水溶液
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
KR100638974B1 (ko) * 2004-12-29 2006-10-26 동부일렉트로닉스 주식회사 반도체 소자의 알루미늄 합금 라인 세정 방법
WO2006081406A1 (en) * 2005-01-27 2006-08-03 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US7476620B2 (en) * 2005-03-25 2009-01-13 Dupont Air Products Nanomaterials Llc Dihydroxy enol compounds used in chemical mechanical polishing compositions having metal ion oxidizers
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
US7362116B1 (en) * 2005-11-09 2008-04-22 Electroglas, Inc. Method for probing impact sensitive and thin layered substrate
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7691287B2 (en) * 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
TWI598468B (zh) * 2007-05-17 2017-09-11 恩特葛瑞斯股份有限公司 用於移除化學機械研磨後殘留物之清洗組成物、套組及方法
JP2009088486A (ja) * 2007-08-29 2009-04-23 Applied Materials Inc 高スループット低形状銅cmp処理
CN101815811A (zh) * 2007-09-06 2010-08-25 Ekc技术公司 用来处理铜表面的组合物和方法
US8802609B2 (en) 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
US8062429B2 (en) * 2007-10-29 2011-11-22 Ekc Technology, Inc. Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
TWI490191B (zh) * 2007-10-29 2015-07-01 Ekc Technology Inc 含醯胺肟化合物之半導體加工組成物
WO2009058274A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
WO2009058272A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Copper cmp polishing pad cleaning composition comprising of amidoxime compounds
US8211846B2 (en) 2007-12-14 2012-07-03 Lam Research Group Materials for particle removal by single-phase and two-phase media
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US7838483B2 (en) * 2008-10-29 2010-11-23 Ekc Technology, Inc. Process of purification of amidoxime containing cleaning solutions and their use
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
JP5646882B2 (ja) * 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
CN102782113A (zh) * 2010-03-05 2012-11-14 朗姆研究公司 用于镶嵌工艺侧壁聚合物的清洗溶液
US8500515B2 (en) * 2010-03-12 2013-08-06 Wayne O. Duescher Fixed-spindle and floating-platen abrasive system using spherical mounts
US8602842B2 (en) * 2010-03-12 2013-12-10 Wayne O. Duescher Three-point fixed-spindle floating-platen abrasive system
US8647171B2 (en) * 2010-03-12 2014-02-11 Wayne O. Duescher Fixed-spindle floating-platen workpiece loader apparatus
US8740668B2 (en) * 2010-03-12 2014-06-03 Wayne O. Duescher Three-point spindle-supported floating abrasive platen
JP5508130B2 (ja) 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
US20140318584A1 (en) * 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
WO2012103334A2 (en) * 2011-01-28 2012-08-02 Emery Oleochemicals Llc Cleaning compositions and method of using the same
MY169469A (en) 2011-01-29 2019-04-12 Emery Oleochemicals Llc Method of purifying a dicarboxylic acid
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
US8859428B2 (en) * 2012-10-19 2014-10-14 Air Products And Chemicals, Inc. Chemical mechanical polishing (CMP) composition for shallow trench isolation (STI) applications and methods of making thereof
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
RU2655266C2 (ru) 2012-11-14 2018-05-24 Цюфома ГмбХ Обрабатывающий элемент для применения с частью дентального имплантата, обрабатывающая система и способ очистки части дентального имплантата
DE102013201883A1 (de) * 2013-02-05 2014-08-07 Urs Brodbeck Behandlungsflüssigkeit zur Reinigung eines Implantat-Teils
US9105578B2 (en) * 2013-03-12 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9263275B2 (en) 2013-03-12 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
CN105529284A (zh) * 2014-09-29 2016-04-27 盛美半导体设备(上海)有限公司 一种抛光及清洗晶圆的半导体设备及方法
EP3245668B1 (en) 2015-01-13 2021-06-30 CMC Materials, Inc. Cleaning composition and method for cleaning semiconductor wafers after cmp
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
CN108822670A (zh) * 2018-05-19 2018-11-16 保定维特瑞交通设施工程有限责任公司 一种pcb电路板焊接超声波防静电在线清洗材料及其制备方法
JP2022530669A (ja) 2019-05-01 2022-06-30 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
CN111450714A (zh) * 2020-04-17 2020-07-28 蓝星(杭州)膜工业有限公司 一种多元缓冲体系制备复合纳滤膜的方法
WO2024050561A1 (en) * 2022-09-02 2024-03-07 KopMan LLC Method and system for treating equipment surface

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2861906A (en) * 1956-10-15 1958-11-25 Kelsey Hayes Co Metal treatment
US3085915A (en) * 1958-03-13 1963-04-16 Siemens Ag Method of removing rust from ironcontaining materials, particularly for the cleaning of boiler plants
CH422470A (fr) * 1963-08-10 1966-10-15 Borg Holding Ag Procédé de décapage et de nettoyage d'ouvrages au moins en partie métalliques, notamment d'installations thermiques
ZA656244B (ko) * 1964-11-27
US3702427A (en) * 1971-02-22 1972-11-07 Fairchild Camera Instr Co Electromigration resistant metallization for integrated circuits, structure and process
DE2154234A1 (de) * 1971-10-30 1973-05-03 Licentia Gmbh Verfahren zum entfernen von elektrisch aktiven verunreinigungen
US3887446A (en) * 1974-07-26 1975-06-03 Us Navy Electrochemical preparation of metallic tellurides
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
GB1573206A (en) * 1975-11-26 1980-08-20 Tokyo Shibaura Electric Co Method of trating surfaces of intermediate products obtained in the manufacture of semiconductor devices
GB1519105A (en) * 1975-12-02 1978-07-26 Fuji Photo Film Co Ltd Treating of photographic processing solutions
JPS5479131A (en) * 1977-12-07 1979-06-23 Okuno Chem Ind Co Electrolytic bath for removing electrodeposited metal on stainless steel substrate
US4227941A (en) * 1979-03-21 1980-10-14 Massachusetts Institute Of Technology Shallow-homojunction solar cells
US4363741A (en) * 1980-12-19 1982-12-14 Borden, Inc. Automotive cooling system cleaner
JPS58147742A (ja) * 1982-02-25 1983-09-02 Konishiroku Photo Ind Co Ltd ハロゲン化銀カラ−写真感光材料
US4569728A (en) * 1984-11-01 1986-02-11 The United States Of America As Represented By The Secretary Of The Air Force Selective anodic oxidation of semiconductors for pattern generation
JPS62250189A (ja) * 1986-04-21 1987-10-31 Kurita Water Ind Ltd 化学洗浄剤
US5236565A (en) * 1987-04-11 1993-08-17 Metallgesellschaft Aktiengesellschaft Process of phosphating before electroimmersion painting
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
JPH0238498A (ja) * 1988-07-28 1990-02-07 Aiko Mizunoya 表面洗浄剤
US5143592A (en) * 1990-06-01 1992-09-01 Olin Corporation Process for preparing nonconductive substrates
US5078801A (en) * 1990-08-14 1992-01-07 Intel Corporation Post-polish cleaning of oxidized substrates by reverse colloidation
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
EP0496605B1 (en) * 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
US5556482A (en) * 1991-01-25 1996-09-17 Ashland, Inc. Method of stripping photoresist with composition containing inhibitor
JP3048207B2 (ja) * 1992-07-09 2000-06-05 イー.ケー.シー.テクノロジー.インコーポレイテッド 還元及び酸化電位を有する求核アミン化合物を含む洗浄剤組成物およびこれを使用した基板の洗浄方法
JP2857042B2 (ja) * 1993-10-19 1999-02-10 新日本製鐵株式会社 シリコン半導体およびシリコン酸化物の洗浄液
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
DE19525521B4 (de) * 1994-07-15 2007-04-26 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Verfahren zum Reinigen von Substraten
US5885901A (en) * 1994-08-11 1999-03-23 Texas Instruments Incorporated Rinsing solution after resist stripping process and method for manufacturing semiconductor device
US5597420A (en) * 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5662769A (en) * 1995-02-21 1997-09-02 Advanced Micro Devices, Inc. Chemical solutions for removing metal-compound contaminants from wafers after CMP and the method of wafer cleaning
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5612304A (en) * 1995-07-07 1997-03-18 Olin Microelectronic Chemicals, Inc. Redox reagent-containing post-etch residue cleaning composition
US5705472A (en) * 1995-07-18 1998-01-06 Petroferm Inc. Neutral aqueous cleaning composition
US5645737A (en) * 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150054471A (ko) * 2013-11-12 2015-05-20 주식회사 동진쎄미켐 화학적 기계적 연마 후 세정용 조성물
KR102134577B1 (ko) 2013-11-12 2020-07-16 주식회사 동진쎄미켐 화학적 기계적 연마 후 세정용 조성물

Also Published As

Publication number Publication date
WO1998036045A1 (en) 1998-08-20
KR20000064914A (ko) 2000-11-06
DE69816219D1 (de) 2003-08-14
EP0909311A1 (en) 1999-04-21
US5981454A (en) 1999-11-09
US6156661A (en) 2000-12-05
DE69816219T2 (de) 2004-04-22
TW396202B (en) 2000-07-01
ATE244751T1 (de) 2003-07-15
EP0909311A4 (en) 2001-02-28
JP3850039B2 (ja) 2006-11-29
EP0909311B1 (en) 2003-07-09
JP2001500922A (ja) 2001-01-23

Similar Documents

Publication Publication Date Title
KR100355212B1 (ko) 후세척처리방법
US6546939B1 (en) Post clean treatment
EP1888735B1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP4942275B2 (ja) 化学的機械的平坦化(cmp)後の清浄化組成物
KR100561178B1 (ko) 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물
KR101166002B1 (ko) 반도체 디바이스용 기판 세정액 및 세정방법
KR101331747B1 (ko) 반도체 기판 처리 조성물
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR101997950B1 (ko) 반도체 디바이스용 세정액 및 반도체 디바이스용 기판의 세정 방법
TWI460268B (zh) Semiconductor substrate cleaning solution composition
WO2002094462A1 (fr) Procede de nettoyage de la surface d&#39;un substrat
JP2004518819A (ja) 化学機械平坦化(cmp)後の洗浄組成物
EP0812011A2 (en) Cleaning agent
KR20100100841A (ko) 반도체 디바이스용 기판의 세정 방법 및 세정액
JP4375991B2 (ja) 半導体基板洗浄液組成物
KR20180091928A (ko) 화학적-기계적-연마 후 세척용 조성물
JP2019502802A (ja) 化学機械研磨後の洗浄組成物
KR101572639B1 (ko) Cmp 후 세정액 조성물
KR20190016093A (ko) 포스트 화학적-기계적-폴리싱 세정용 조성물
EP2687589A2 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR102399811B1 (ko) 금속막 연마 후 세정액 조성물
CN117106528A (zh) 半导体基板洗涤液组合物

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080911

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee