JP3850039B2 - 後清浄化処理 - Google Patents

後清浄化処理 Download PDF

Info

Publication number
JP3850039B2
JP3850039B2 JP53593698A JP53593698A JP3850039B2 JP 3850039 B2 JP3850039 B2 JP 3850039B2 JP 53593698 A JP53593698 A JP 53593698A JP 53593698 A JP53593698 A JP 53593698A JP 3850039 B2 JP3850039 B2 JP 3850039B2
Authority
JP
Japan
Prior art keywords
composition
acid
metal
chemical
hydroxylamine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP53593698A
Other languages
English (en)
Other versions
JP2001500922A (ja
Inventor
ジェイ. スモール,ロバート
Original Assignee
イーケーシー テクノロジー,インコーポレイティド
スモール ロバート ジェイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by イーケーシー テクノロジー,インコーポレイティド, スモール ロバート ジェイ filed Critical イーケーシー テクノロジー,インコーポレイティド
Publication of JP2001500922A publication Critical patent/JP2001500922A/ja
Application granted granted Critical
Publication of JP3850039B2 publication Critical patent/JP3850039B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/18Hydrocarbons
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D9/00Chemical paint or ink removers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3227Ethers thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3254Esters or carbonates thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/16Metals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Polarising Elements (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

技術分野
The National Technology Roadmap for Semiconductor Industries (1994)は、現在の0.35μmのフィーチャーサイズ(feature size)のコンピューターチップは、2001年には0.18μmのフィーチャーサイズに減るであろうと言うことを示している。DRAMチップは1ギガビットのメモリーを持ち、典型的なCPUは1300万トランジスター/cm2(現在は、それらは400万を含むのみ)となるであろう。金属層(「ワイヤ」)の数は現在の2〜3から5〜6に増え、作動周波数は現在200MHzであるが、500MHzに増えるであろう。これは、電気信号の遅れを減らすために、ウェーハーチップ上の3次元構造に対する需要を増すであろう。現在約840メートルの「ワイヤ」/チップがあるが、2001年迄に(何らの重大な設計変更なしとして)典型的なチップは10,000メートルを有するであろう。このワイヤの長さはチップの速度性能を激しく低下させるであろう。
エッチングし、プレーナライズし(planarize)、そしてこれらの重大な工程の各々の後ウェーハーを清浄化するより新しい方法を開発しなければならない。本発明は、湿式化学処理(wet chemistry process)工程(後エッチング残留物清浄化工程,PER)の後のウェーハーの処理方法のための新しい方法を取り扱う。特に、本発明はアミンベースの湿式化学処理から来る残留アミンを取り扱う。通常、アミンベースの化学物質は、後エッチング残留物(「ポリマー残留物」、「フェンス」、ベール(vail)、等)を除くために使用される。これらの化学組成物は、また、後CMP清浄化及びCMPプレーナリゼーション(planarization)中の銅ウェーハーを磨くのに適用できる。
半導体工業(又はフラットパネルディスプレー,マイクロエレクトロメカニカル装置(microelectromecanical divices),等におけるウェーハーの湿式化学処理(金属エッチング又は後エッチング残留物除去、等のための)の間の或る時点で、材料はリンス工程、又は後清浄化工程を「通過(move through)」しなければならない。そのようなリンス工程は、先行の工程で適用された化学物質を除き、先行の化学物質からの全ての化学物質の効果を止めるように設計されている。これらの溶液は、また、基材表面上の粒子を減らすように設計されている。リンス液は一般に2部分系、即ち「温和な」有機化学物質(温和な溶剤は先行のアミンベースの化学物質を単に希釈するもので、イソプロピルアルコール(IPA)又はN−メチルピロリドン(NMP)であり得る)を含む1浴であり、その後水リンスが続き、最後に上記IPA乾燥からなる乾燥工程が続く。設備によっては、製品は第1の化学物質から直接IPA又は水に行く。場合によっては、水浴はこの浴のpHをやや低いpH(酸性)に調節するためにCO2で飽和されている。
技術水準のリンスシステムを用いて得られる結果の例として、図1は、Quick Dump Rinser (QDR)のための流体流通式(fluid flow equation)を用いたSandia Laboratoriesによるコンピューターシミュレーションを用いて得られる結果のグラフである。この場合、アミン含有湿式化学溶液をで処理されたウェーハー表面上に、水スプレーが向けられており、このリンスからの水はこれらウェーハーを含む浴中に集まる。次いで、この浴の底は開かれて前記集められた水は急速に排出される。図示のように、理論的に低いアミン量が曲線4に示されるようにウェーハー上に残る代わりに、典型的な曲線6又は最悪のケースの曲線8で示されるように、比較的高いアミン量が残る。
現在のリンス溶剤の幾つかは低い引火点(IPAの引火点は22℃,密閉式カップ,NMPは86℃,密閉式カップ)を持つか、及び/又はSARA Title III記載品である。SARA Title III記載品とは、その化学物質は監視し、その量を連邦政府に年毎に報告しなければならないということを意味する。イソプロピルアルコール及びNMPは数百の化合物のリストの中に入っている。
関連文献
“Metal Corrosion in Wet Resist−Stripping Process”,Pai,P.;Ting,C.;Lee,W.;Kuroda,R.
SEMI CMP User & Suppler Workshop; October 18−19,Austin,Texas.
Jairath,R et al.; Proc.of Mat.Research Soc.,Spring Meeting,Vol.337,p.121.
Fruitman,C.et al; VMIC 1995,Santa Clara,California.
Scherber,D.; Planarization Technology,CMP; July 19,San Francisco,California.
Semiconductor Pure Water and Chemicals Seminar,March 4,1996; Santa Clara,California.
Kaufman,F.; J.Electrochem.Soc.,138(11),p.3460,1991.
Allied Signal; “Hydroxylamine,Redox Properties”.
発明の要約
本発明は先行技術の以下の問題を解決する:
・腐食の問題を減らし又は除去すること
・引火性の溶剤の使用を排除すること
・SARA Title III化学物質を排除すること
・可動金属イオン及び遷移金属イオンを少なくすること
本発明の後清浄化処理溶液は以下の特徴を有する:
・水ベースであること
・好ましくはpHが4.2〜4.4であること
・高い中和能力を有すること
・可動金属及び遷移金属「屑」イオンを調節するように設計されていること
・金属酸化物の損傷の修復が可能であること
本発明によれば、金属の又は誘電性物質の表面から化学的残留物を除去するための、又は銅表面の化学的機械的研磨のための組成物はpHが約3.5〜約7の間の水溶液である。この組成物は、1官能性の、2官能性の又は3官能性の有機酸及び緩衝量の第4級アミン、水酸化アンモニウム、ヒドロキシルアミン、ヒドロキシルアミン塩、ヒドラジン又はヒドラジン塩の塩基を含む。金属又は誘電体の表面からの化学的残留物の除去のための本発明の方法は、上記組成物を金属又は誘電体の表面に、前記化学的残留物を除去するに充分な時間接触させることを含む。銅表面の化学的機械的研磨のための本発明の方法は、上記組成物を前記銅表面に適用し、前記組成物の存在下に前記表面を磨くことを含む。
本発明の他の態様において、前記金属又は誘電体の表面を約3.5〜約7のpHの水性組成物と、化学的残留物を除くのに充分な時間接触することにより、金属又は誘電体の表面から化学的残留物を除く。本発明の更に他の態様において、銅の表面を約3.5〜約7のpHの水性組成物を適用し、この組成物の存在下に、この表面を磨くことにより、銅表面を化学的機械的に磨く。
【図面の簡単な説明】
図1は、従来技術の水準の方法で得られた結果を示すグラフである。
図2は、本発明の後清浄化処理が有利に使用できる典型的な一連の工程を示すフローダイヤグラムである。
図3は、水リンス液系中に溶解されたアミンの量に対するウェーハー上のAl金属の腐食速度を示すグラフである。
図4は、AlについてのPourbaixダイヤグラムである。
図5A−5Cは、本発明の後清浄化処理の使用をし、又は使用しないで得られた比較結果の走査電子顕微鏡写真(SEMs)である。
図6〜8は、本発明により得られた結果を示す棒グラフである。
特別な実施態様の説明
本発明は、表面を処理するために設計された新しい一連の化学物質(後清浄化処理溶液)に関する。この後清浄化処理は6つの重要な特徴を有する:
1.多量のヒドロキシルアミンベースの、アミンベースの、及びアルカノールアミンベースの化学物質を中和する。
2.水表面から化学物質添加物及びスラリー粒子を除くためのCMP清浄化に使用することができる。
3.水溶液であり、これは引火点を持たないことを意味する。
4.諸成分はSARA Title IIIリストに載っていない。
5.ウェーハーの表面に吸着された可動金属イオン及び「屑」遷移金属イオンの量を減らす。
6.アミン化学工程の後の金属酸化物フィルムに与えられた損傷を「修復する」ように設計された温和な酸化性溶液である。
7.CMP条件下にある種の配合物は銅を磨くであろう。
8.IPAリンス液に恐らく見られるように、アミンの沈殿が形成されるのを防ぐ。
図面、特に図2に目を向けると、本発明が用いられる一連の典型的なプロセスが示されている。エッチング工程10の後、灰化工程12、湿式化学工程14、又は灰化工程12及び湿式化学工程14が、ホトレジストを除き、残留物をエッチングするために用いられる。先行技術によれば、屑及び何らかの残留湿式化学物質をエッチングされた基材からリンスするために、炭酸水リンス16、イソプロピルアルコールリンス18又はN−メチルピロリドンリンス20が用いられる。本発明によれば、リンス16、18又は20の代わりに後清浄化処理溶液リンス22が用いられる。DI水(脱イオン水)リンス24は図2に示された一連のプロセスを完了させる。
背 景
後エッチング残留物化学物質中和
後清浄化処理化学についての重要な特徴は、アミン特性を迅速に中和してウェーハー及びボートを有する浴から運び出す、その能力である。水リンス中の低濃度(3〜20%)のアミンは、金属構造、特にAl金属構造の腐食を引き起こすことができる(図3参照)ことであることはよく理解されている。第1の理由は、アミンが水と反応して水酸化物アニオンを形成することである:
R−NH2+H2O → R−NH3 +-OH
次いで、水酸基は金属表面又は粒界を攻撃して構造体の表面を「エッチングする」。
この攻撃の1つの可能な機構は、両性の物質であるAl23がpH<4の酸又はpH>10の塩基によって除かれ得ることである:
Al+3OH-+H2O → Al(OH)4+H2
図3は、水リンス系中に溶解されたアミンの量に対するウェーハー上のAl金属の腐食速度を示す。このグラフは、非常に少量のアミンは金属に対して非常に腐食性であることを明らかに示している。硫酸又は硝酸(Ka>1)からなる酸性リンス溶液を使用すると、アミンを中和するであろうが、pHを注意深く4より大きくすると、多数の金属は容易に腐食される(図4,アルミニウムに関するポーアベイクス(Pourbaix)ダイヤグラム、を参照のこと)。そのような酸で作られた後清浄化処理リンス液の化学活性をその寿命期間の間、調節するのは非常に困難であろう。
幾つかの鉱酸、硫酸及び硝酸はメッキ工程の前に金属酸化物残留物エッチングし、又は除くために、又はボイラーパイプからスケールを除くために使用される。多数の有機酸(くえん酸、グルコン酸、酢酸)は金属酸化物又はスケールを除くために使用するに充分低いpH(1.5〜2.3)を有する。多数の商業的配合物があり、それらは金属構造体を「酸洗」するための種々のタイプの酸を使用している。これらの方法は半導体工業における加工にとって致命的であろう。
本発明が示すように、前記後清浄化処理溶液は金属酸化物を除くために設計されているのではなく、酸化物層を維持するために設計されている。それ故、この溶液のpHは金属酸化物被膜を破壊することなくアミン不純物を中和するだけのために変更されなければならない。
イソプロピルアルコールリンス溶液は引火性であり、その化学物質はSARA Title IIIリストに記載されている。炭酸水リンス溶液は、水中への限られたCO2の溶解性の故に、ほんの限られた中和容量しか持たない。
後−化学的機械的プレーナリゼーション清浄化プロセス
中間誘電層(interdielectric layers)及び化学的機械的プレーナリゼーション(CMP)プロセスのための金属研磨プロセスの両方共、痕跡量のスラリー及び化学物質を除くために、結局は最終清浄化工程を通過しなければならない。前記プロセスは、ブラシがけ、スクラブ(scrub)及びリンスのサイクルのように簡単に見えるが、このプロセスが単一のウェーハーを片側スクラブもしくは両側スクラブをするか、噴霧具もしくは浸漬タンクでさえバッチ処理するかを含むべきか決定するために、相当の努力が拡大されている。最近、後清浄化CMPに携わるエンジニアリンググループが、後清浄化工程における最も重要な問題として、ウェーハーの清浄度(スラリー及びパッド(pad)粒子及び金属汚染物からの)をランク付けした。プロセスの信頼性及び欠陥の欠陥測定は他の2つの重要な関心領域であった。残留粒子量は、<0.05粒子/cm2で、これらの粒子の90%はサイズが0.2μm未満でなければならない。線幅0.35μmは0.035μm又はそれ未満という小さな粒子の除去を必要とするであろう。不完全な粒子の除去はウェーハー収率を下げるであろう。低い欠陥(かき傷)量及び許容できるプレーナリティー(planarity)も非常に重要であろう。
殆どの製造業者は、後清浄化CMP工程のための企業内技術を開発してきた。殆どの「化学物質」は、添加された水酸化アンモニウム又はHFを有する脱イオン水を含むが、製造業者のなかには、フロントエンドプロセスにおいて伝統的に使用された標準RCA SC−1(NH4OH:H22:H2O)及びSC−2(HCl:H22:H2O)清浄化化工程を使用している。
ウェーハー表面から不純物(粒子及び/又はイオン)を除くために5つの機構がある:
・溶媒の物理的脱着:少数の強力に吸着された物質を大きな体積の弱く吸着された溶媒で置き換えること(表面電荷の相互作用を変えること)。
・表面電荷を酸又は塩基で変えること:Si−OH基は酸でプロトン化する(正にする)か又はそのプロトンを除くことによって塩基を負にすることができる。
・イオンの錯体化:酸を加えることによって吸着された金属イオンを除くこと(即ち、イオン交換)。
・不純物の酸化又は分解:金属、有機物又はスラリー粒子の表面の酸化は、不純物と基材表面の間の化学的力を変えるであろう。化学的反応はレドックス化学又はラジカルにより得る。
・表面のエッチング:不純物及び基材表面の或る程度の厚さは溶解される。
酸化ケイ素の化学
誘電体研磨の機構は、未だ研究中であるが、研磨プロセスは2つの同時発生的プロセスを含む:表面の塑性変形を含む機械的プロセス、及び水酸化物(-OH)による化学的攻撃があってシラノール結合が形成されること。
Figure 0003850039
スラリー(コロイド状懸濁液)においてpHは重要であり、酸化ケイ素にとって、それは10〜11.5の範囲である必要がある。現在、CMP使用者は水酸化ナトリウムで「緩衝された」酸化ケイ素ベーススラリーを使用しているが、今は水酸化ナトリウム又は水酸化アンモニウム溶液が配合されている。エッチング速度は1700Å/分の範囲にあることができる。
もしpHが高すぎると、多核種が予測できない態様(manner)で沈殿し始めることがある。Si−O−Si結合,eq.4を形成する酸化プロセスの可能性もある。
エッチング速度及び最終表面状態;(金属汚染及び恐らくミクロなかき傷)に影響するシリコン表面の他の重要な態様(features)がある。上記のように、典型的なシリコン表面は中和条件又は塩基性条件の下で−OH基で停止される(被覆される)。このシリコン表面は親水性である(この表面は「湿潤性」である)。これらの基は表面を活性化して多数の可能な化学的又は物理的吸着現象を生じるようにする。このSi−OH基は塩の形成及びプロトン(H+)を種々の金属(イオン交換樹脂と同様に)と交換することを可能にする弱酸効果を減じる(impair)。これらのSiO-及びSi−OH基は、Al,Fe,Cu,Sn及びCaを錯化する配位子としても働くことができる。勿論、この表面は非常に双極性であり、従って静電荷は、全体の溶液のpH、イオン濃度又はイオン電荷に依存して蓄積され又は分散され得る。この蓄積された表面電荷はゼータ電位として測定することができる。
もし、酸化物層の下のシリコン(Si)表面が過度に激しい研磨プロセスの故に露出されるならば、これは電気化学的問題を引き起こし得る。何故ならば、シリコンは弱い酸化還元電位を持ち、それがCu,Au,Pt,Pb,Hg及びAgがシリカ表面を「メッキする」ことを可能にするからである。光への暴露も、Cuの酸化還元反応をもたらすであろう。光は、半導体Si材料中に電子を「発生させ」、それは次いで銅イオンをCu0に還元するであろう。
CMP金属の化学
後清浄化処理溶液は、銅金属フィルムのプレーナリゼーション(planarization)を行うのに使用できることも決定されている。このタイプの研磨は金属表面の酸化及びその後のエマルションスラリーによる酸化物表面の研磨による。この機構において、化学物質のpHは重要である。一般式は次のようである(M=金属原子):
0 → Mn+ ne-
n++〔Ox〕y→ MOx又は〔M(OH)x
理想的な条件下において、金属酸化物(MOx)の形成の速度(Vf)は酸化物研磨(Vp)に等しいであろう(Vf=Vp)。もし、pHが低すぎると(酸性)、化学物質はこの酸化物に迅速に浸透し、金属を攻撃し(Vf<Vp)、従って、更なる何らの酸化物の形成もなしに、金属を露出する。これは、全ての金属表面は、高い点においても谷においても、同じ速度で除かれることを意味する。表面の平坦化は達成されない。これは金属プラグコネクタがプレーナリゼーション表面の下にへこむ(「中低そり」)を引き起こし、これは結局、弱いステップカバレッジ(poor step coverage)及び可能な弱い接触抵抗に導かれるであろう。
pHが高すぎると(アルカリ性)、前記酸化物層は化学物質に対して非浸透性となり、金属は不動態になり(Vf>Vp)、金属研磨速度はゆっくりしたものになる。酸化物に対する選択的金属研磨は、金属の種類に依存して、一般に20〜100:1の範囲である。タングステン金属は、酸化物に対する金属について、選択性が>50:1である筈であり、銅は酸化物に対する金属の選択性は>140:1であろう。エッチング速度は7000Å/分までであり得る。前記化学的拡散速度及び金属酸化物表面の種類はプレーナリゼーションプロセスを成功させるのに重要である。詳細な機構は、Kaufman,F.;J.Electrochem.Soc; 138(11),p.3460,1991に提案されている。
化学物質の種類
種々の化学物質が後清浄化処理配合物に使用できる。

後清浄化処理の化学において、使用できる種々の有機化学物質がある。有機酸の種類は非常に重要である。幾つかの可能な酸及びそれらのpKaは以下の通りである:
Figure 0003850039
酸の一般構造は次のようなものである。
Figure 0003850039
ここに、X=−OH,−NHR,−H,ハロゲン,CO2H及び−CH2−CO2H,−CHOH−CO2H;
R=一般に脂肪族基、H又は芳香族基である。
濃度は1〜25wt%に変化し得る。重要なファクターは、水溶液中の酸及び塩基製品及びもしあれば何らかの追加の薬剤である。
塩基
緩衝後清浄化処理液のpHを調節するためのアルカリ性成分は、普通の何らかの塩基、例えばナトリウム、カリウム、マグネシウム等の水酸化物であり得る。主要な問題は、これらの塩基は可動イオンを最終配合物に導入することである。可動イオンは、半導体工業において今日製造されているコンピューターチップを容易に破壊し得る。
他の塩基はテトラメチルアンモニウムヒドロキシド(TMAH)もしくはコリン(両方共第4級アミンである)又は水酸化アンモニウムである。
他の塩基は、遊離塩基としてのヒドロキシルアミンであり、これは他のヒドロキシルアミン塩(硫酸塩、硝酸塩、等)と共に用いることができる。他の塩基はヒドラジン及び/又はその塩を含み得る。
酸化剤
酸化剤の添加は、本発明の重要な部分である。金属構造体が後エッチング残留物から清浄化されたとき、又はCMPプレーナリゼーション工程の後に、金属表面の酸化物被膜は損傷を受けていた(失われた)可能性がある。この損傷を受けた金属表面は、損傷を増し、装置を無価値にする可能性のある、後の製造工程の前に修復するのが有利である。時々IPA中の過酸化水素の混合物が、別のプロセス工程として使用されてきた。ユーザーの中にはウェーハーを過酸化水素浴中に数秒ないし数分浸漬するものがいるであろう。そのときには、ウェーハーは最終的に水中でリンスされ、乾燥される。この不利益は、通常のリンス浴の他に別の浴が維持されなければならないことである。
酸化剤がリンス浴の1つの中に含まれるならば、有利であろう。過酸化水素は最も普通の商業的に入手可能な酸化剤の1つである。過酸化水素は貯蔵寿命が短く、過激すぎる酸化剤で、後の加工工程を妨げる恐れのある厚い金属酸化物フィルムを形成するであろう。
過酸化水素(酸性)及びヒドロキシルアミン(酸及び塩基)の酸化還元電位(SHEでのEV)は以下のようである:
22 → O2+2e-V=+0.68
NH2OH+OH-→N2O EV=−1.05
NH3OH++H+→ N2O+4H+V=−0.05
残念ながら、金属の中には、還元性条件下でヒドロキシルアミン(塩基性溶液)を用いるとき、ゼロの酸化状態に還元されるものがある。水表面が金属粒子で汚染されるのを避けるために、このことはCMPプロセスにおいて重要である。
酸化還元剤である他に、ヒドロキシルアミンは、アンモニアと同様に、Al(SO42 *NH2OH*2O及びCu(X)2 *NH2OH*2Oを包含する多数の金属の錯塩を形成し得る。
ヒドロキシルアミン型の化合物を使用する他の重要な利点は、それらの分解生成物である。溶液のpH、金属イオン及び濃度に依存して、ヒドロキシルアミンは水、窒素、アンモニア及びN2Oにより分解するであろう。8より高いpHでのゆっくりした内部酸化還元反応により、窒素の形成さえ起こる。
ヒドロキシルアミンはより選択的(調節可能)な酸化還元剤である。この二重の能力は媒体のpHを酸性から塩基性に移動させることにより達成される。
Cu+2(NH4OH)→Cu+pH9〜1112V=-0.08還元
Cu+(H2SO4)→Cu+2pH約0〜1113V=+0.34酸化
他の酸化剤は、ペルオクソ二硫酸アンモニウム、過酢酸、尿素ヒドロペルオキシド及び過炭酸ナトリウム又は過ほう酸ナトリウムを含み得る。
濃度は0.5〜30wt%で変化し得る。
キレート剤(chelators)
本発明の追加の特徴は、遷移金属イオン錯体を形成する少量の金属イオンキレート剤である。このキレート剤は、ジ−、トリ−、テトラ−、官能基、即ちEDTA、くえん酸、オキシム、乳酸、8−ヒドロキシキノリン及び酸性条件下に金属イオンとキレート化する周知の薬剤を含むであろう。他の可能な薬剤は、ポリエチレンオキサイド、ポリエチレンイミン及びクラウンエーテルである。これらの後二者の化合物は可動イオン(Li,Na,K及びある種のアルカリ土類イオン)に対する種々の親和性を有する。濃度は、好ましくは0.01〜10wt%で変化する。
界面活性剤
界面活性剤(ノニオン界面活性剤、アニオン界面活性剤及びカチオン界面活性剤)は、これらの配合物に含有され得る。後清浄化処理溶液の表面張力は、約70ダイン/cmであろうが、表面張力が減らされるべき特別な場合があるであろう。
実施例
アイデア 1
後清浄化処理溶液は、解離定数が1未満の種々の有機酸を含むであろう。例としては、ギ酸、酢酸、プロパン酸、酪酸、又は二官能性又は三官能性水溶性有機酸、即ち、くえん酸等がある。
後清浄化処理浴が少量のアミン(1つ又は2つのボートの装填量のウェーハー)を中和できるのみであるときは、これは、その溶液を置き換える頻繁な必要性の故に、ウェーハー清浄化プロセスの所有権(ownership)のコストを増すであろう。この効果は以下の例により克服することができる。
(例1)
「緩衝容量」又は後清浄化処理のアミン中和力を測定するために複数の実験を行った。この化学物質はこの溶液がpH7に達するまでアミンを中和するように設計した。一旦、pHがこの値を超える(よりアルカリ性になる)と、金属腐食の可能性は増す。
この試験の一般的手順は、磁気攪拌棒でビーカー中で後清浄化処理溶液(89部の水、8部のくえん酸及び3部の50%ヒドロキシルアミン)のサンプル100gを使用することであった。これのpHは2点目盛りを有するFisher pHメーターで監視した。
種々のpH7に達するまで、種々のアミン化学物質を加えた。
Figure 0003850039
(最終溶液中の%=アミン量/100g後清浄化処理+アミン)
略語:DGA=ジグリコールアミン;HDA=ヒドロキシルアミン(商業的に入手可能な50wt%水溶液の%で示している);MEA=モノエタノールアミン;NMP=N−メチルピロリドン
前記アミン及びアミン/ヒドロキシルアミン化学物質はEKC Technology,Inc.,Hayward,CA.
これらの結果は、添加組成物DはpHが7より大きくなることはないであろうこと、組成物Eは、後清浄化処理に対して類似であるがより小さい効果を及ぼすことを示している。
組成物A,B及びCのデータは、潜在的な中和能力を計算するのに使用でき、もし我々が典型的なリンス浴が6.5ガロン(24.6リットル)であると仮定するならば、添加されるアミンの量は2.6〜3.2リットルになろう。それ故、もし約22gの引き出しアミン/25ウェーハーボートがあるならば、ウェーハーボートの数は120〜145ボートの範囲になるであろう。
アイデア 2
後清浄化処理「緩衝容量」を試験し、この溶液が金属フィルムをエッチングしないことを示す他の方法は、例1で使用した後清浄化処理溶液のサンプルを取り、8〜10wt%の種々のタイプのヒドロキシルアミン及び/又はアミン化学物質を添加することによる。前記ブランケット(blanket)金属ウェーハーを「ドープされた」溶液中に30分浸漬し、次いで脱イオン水でリンスした。このフィルムの抵抗率(オーム/cm2)をこの試験の前及び後に測定した。例1の表3からのEKC Technology化学物質のwt%を括弧内に示す。
(例2)
Figure 0003850039
実験誤差の範囲で、この結果は金属厚さに何らの損失もなかった。
Al=Al/0.5%Cu,5000Å;Ti=3000Å;W=Ti100Å,W5000Å。
ヒドロキシルアミン及び/又はアミン湿潤化学物質引き出し物の効果をシミュレートするために、アミン湿潤化学物質でドープされた例1で用いられた後清浄化処理溶液を用いて、パターン形成したウェーハーを試験した。図5Aは、対照としての何らかの湿潤化学物質で処理する前のパターン形成されたウェーハーを示す。このパターン形成されたウェーハーは前記ドープされた溶液中に30分浸漬し、その後脱イオン水でリンスした。図5Bは、10wt%の溶液E(表3)を加えた例1の後清浄化処理溶液を用いて得られた結果を示す。図5Cは、8wt%の溶液C(表3)を加えた例1の後清浄化処理溶液を用いて得られた結果を示す。これらのSEM写真は、種々のヒドロキシルアミン及び/又はアミン化学物質で「ドープ」された後清浄化処理溶液に通過させた後、金属構造体への何らの攻撃もないことを、更に示している。
アイデア 3
現在のタングステン金属CMPプレーナリゼーション化学物質は、過酸化水素又は硝酸第1鉄である。金属酸化物フィルムを損傷する他に、これらの化学物質は、金属フィルム上に可動イオン及び遷移金属イオンを導入することができる。
後清浄化処理溶液は、後CMP清浄化処理化学物質として使用することができる。CMP金属プレーナリゼーションの間に、化学的−スラリー溶液は、この金属の上の天然の酸化物フィルムを損傷するであろう。この金属酸化物層の補修は、安定で、可動イオン汚染に寄与しようとせず、装置を「汚染」せず、一般に環境に優しい。過酸化水素系は安定でなく、綿密に監視していないと、数μmの厚さの酸化物を形成するであろう。
酸性溶液中のヒドロキシルアミン(又はその塩)は温和な酸化剤である(E0)=−0.05V)。
(例3)
以下のデータは、有機酸で構成され、ヒドロキシルアミン又は水酸化アンモニウムで緩衝されて最終pHが4.5であるリンス液の効果を示す。
Figure 0003850039
チタンクーポン(1.33g)及び4000ÅのTiウェーハーを室温で24時間これらの溶液中に入れた。これらサンプルをIPA及び水でリンスし、乾燥し、次いで秤量した。
Figure 0003850039
これらのデータは、ヒドロキシルアミンで緩衝された溶液はエッチング(重量損失)されないが、少し重量獲得(酸化物厚さの獲得)があった。
他の後処理溶液も試験した。
Figure 0003850039
チタンクーポン及びTiウェーハー(4000Å)を、例1の溶液Cに入れ、これを65℃で30分加熱した。次いで、これらサンプルをIPA溶液に又は処理溶液に移した。
Figure 0003850039
これらのデータは、溶液C化学物質がIPA又は処理溶液に移しても、悪影響(重量損失)がなかったことを示している。水酸化物イオンによる腐食を促進する水がないので、当業者はIPAリンスから何らの効果も予期しないであろう。しかしながら、水性後清浄化処理溶液は溶液Cの化学物質から形成される全てのヒドロキシル/アミン種を中和するのに成功することができた。それ故、何らの重量損失もない。
アイデア 4
これらの後清浄化処理溶液の他の重要な態様は、ウェーハー上の金属フィーチャー(feature)は、アミン清浄化化学物質を離れた後は、エッチング又は腐食されてはならないことである。これは、上記後CMP清浄化プロセスに関して述べたアイデアに類似していない。
このアイデアは概して3000〜5000Åの種々の金属で被覆されたウェーハーを用いて試験した。
(例4)
3000〜5000ÅのAl/(0.5%)Cu,Ti,TiW,W,Ta及びCuを持つ種々の金属ブランケットウェーハーを、室温条件で例1の後清浄化処理溶液を用いて試験した。この溶液は1時間の試験の間、攪拌した。
各ウェーハー(TiWを除いて)を、ブランケット金属フィルム厚さを測定するために、プロメトリックス(Prometrix)4点探針を用いて測定した。次いで、これらのウェーハーを磁気攪拌棒を備えたビーカー中の化学物質に個々に浸漬した。これらウェーハーを脱イオン水でリンスし、次いで窒素で乾燥した。これらウェーハーを、4点探針で再び測定した。
4点探針測定は、Al/(0.5%)Cu,Ti,W及びTaのエッチング速度は1Å/分未満であったことを示す。銅ウェーハーはエッチング速度が17Å/分であった。
TiWウェーハーは、探針での読みを与えなかったので、0.01mg付近まで秤量した。試験の終点で、ウェーハーは0.01mgを獲得した。4点探針測定の精度は、1Å/分に最も近いので、測定精度内であった。表9は、銅を例外として、試験した金属の結果をまとめている。
Figure 0003850039
銅ウェーハー(3000Åで、100ÅのTiを有する)の結果を除いて、他のエッチング速度は上記理論的議論から予想された通りである。後清浄化処理溶液(例1の組成物)はpH4〜4.5で緩衝されるように設計され、これは金属上の酸化物フィルムを攻撃すべきでない。これらの結果は、Tiウェーハー、Tiクーポン及びAlクーポンを持つ上記の例と一致する。
銅ウェーハーは17Å/分のエッチング速度であるが、典型的な5分のリンスについて全損失は只の85Åであるから、これは過剰ではない。
(例5)
半導体プロセスにおけるBEOL(Back End of the Line,金属析出後)において、通常遭遇する後清浄化処理溶液と基材の何らかの適合性があるかどうかを知るのは重要である。これらの基材は金属(W,Ti,Al,及び恐らくCu)並びに誘電材料で、後者は通常ホウ素燐シリケートグラス(boron phosphorus silicate glass)(BPSG)(ホウ素及び燐の両方について約5wt%)及びテトラエチルオルソシリケート(TEOS)である。
3”BPSG(3000Å,緻密化)及び3”TEOS(5000Å)ウェーハーのブランケットについてのフィルム厚さを、Geartner Scientific L115楕円偏光測定器を用いて測定した。両方のウェーハーを例1において使用された後清浄化処理溶液に室温にて60分浸漬し、次いで脱イオン水でリンスし、窒素で乾燥した。次いでこのサンプルをフィルム厚さ測定に返した。
実験の限度内で、フィルム厚さに何らの重大な変化はなかった。初期平均TEOS厚さ値は5119Å(標準偏差144.6Å,3σ)であり、最終平均値は5128Å(標準偏差145.3Å,3σ)であった。前記BPSG平均厚さ値(前)は3095Å(標準偏差215Å,3σ)であった。
アイデア 6
本発明の他の重要な特徴は、ウェーハー表面上に吸着されるであろうある種の遷移金属イオン及び可動イオンの量を減らす又は低くする能力である。これらのイオンは、清浄化化学物質中の不純物として、又はエッチングプロセスの間に発生するプロセス装置又はプラズマエッチング残留物の再堆積物からの粒子としてウェーハー上に導入される。
遷移金属カチオン及び可動金属カチオンを、ウェーハー表面から除くためのキレート効果を説明する1つの方法は、この溶液中に浸漬する前及び後の後清浄化処理を監視することである。
(例6)
3000ÅのPBSG又は5000ÅのTEOSを持った3インチのウェーハーを約100ppbのナトリウム、カリウム、カルシウム、及び鉄(III)からなる溶液中に浸漬した。次いで、これらウェーハーを窒素流で乾燥した。次いで、これらウェーハーを例1の後清浄化処理溶液に20分浸漬した。次いで、前及び後の溶液のサンプルをGFAAで分析した。
Figure 0003850039
この結果は、各試験の後の溶液中に、Na,K,Ca及びFeカチオンが増したことを示す。水溶液及びキレート剤(くえん酸及びヒドロキシルアミン)の組み合わせは、金属汚染物を除くのを助ける。最後の5つの金属(Cu〜Ni)には典型的なバックグラウンド値が与えられている。
(例7)
5000Åの熱二酸化ケイ素を有する3インチウェーハーを各約100ppbのナトリウム、カリウム、カルシウム及び鉄からなる溶液中に浸漬した。これらウェーハーを窒素流で乾燥した。次いで、これらウェーハーを複数の区画に切断し、次いで脱イオン水又は例1の後清浄化処理溶液中に浸漬した。サンプルは室温で20分浸漬した。次いで、これらサンプルを取り出し、IPA中でリンスし、窒素流で乾燥した。これらサンプルをTXRF(全X線蛍光)(1010原子数/cm2)で分析した。これらの条件下でカリウム、カルシウム及び鉄のみが測定できた。ナトリウム濃度(原子数/cm2)はカリウム値に平行するであろうと、一般に仮定される。
Figure 0003850039
図6は、上記結果のグラフであり、後清浄化処理溶液で得られた可動イオン制御の大きな改善を示し、一方脱イオン水リンスは存在する可動イオンの量を実際に増す。
(例8)
5000ÅのBPSG及び3000ÅのTEOSを持つ3インチのウェーハーを各約100ppbのナトリウム、カリウム、カルシウム、及び鉄からなる溶液に浸漬した。これらウェーハーを窒素流で乾燥した。次いで、これらウェーハーを複数の区画に切断し、次いで脱イオン水又は例1の後清浄化処理溶液中に浸漬した。サンプルを室温で20分浸漬した。次いで、これらサンプルを取り出し、IPA中でリンスし、窒素流で乾燥した。これらサンプルをTXRF(全X線蛍光(Total X−ray Fluorescence))で鉄について分析した。単位は1010原子数/cm2である。
Figure 0003850039
図7及び8は、BPSG結果の場合には可動イオンの変化%として表した、TEOS結果の場合には1010原子数/cm2として表した、得られた結果のグラフである。
約言すると、本発明の後清浄化処理溶液は、水性で、非引火性で、そして脱イオン水排水と相溶性である(特別なEPA取扱いは何ら心配ない)。それは、ウェーハー表面から痕跡量のアミン及び塩基性(>7pH)化学物質を有効にリンスし、こうしてアミンベースの化学物質及び脱イオン水リンスからの過剰のOH-イオンの腐食の可能性を除く。前記後清浄化処理溶液は、上記と本質的に同じ条件下に、拡散前清浄化、インプランション(implantion)前清浄化及び析出前清浄化に使用することができる。この溶液は、化学的機械的研磨後清浄化に、また銅基材の化学的機械的研磨溶液として使用することができる。
本発明を今や充分に記載したので、当業者には、添付の請求の範囲の精神又は範囲から離れることなく、これに多数の変形及び修飾を施し得ることは明らかであろう。

Claims (22)

  1. 金属又は誘電体の表面から化学的残留物を除くための組成物であって、この化学的残留物は先行の工程において適用されており、この化学的残留物からの更なる化学的効果を停止するものあり、pH約3.5〜約7の水溶液からなり、次のものを含む組成物:
    (a)1官能性、2官能性又は3官能性有機酸;及び
    (b)緩衝量のヒドロキシルアミン、又はヒドロキシルアミン塩。
  2. 前記有機酸が約1重量%〜約25重量%の量で存在する、請求項1の組成物。
  3. 前記組成物が約4〜約6のpHを持つ、請求項1の組成物。
  4. 前記有機酸が約2〜約11wt%の量で存在する、請求項3の組成物。
  5. 更に次のものを含有する請求項1の組成物:
    (c)遷移金属イオン又は可動イオンと錯体を形成するキレート剤。
  6. 前記キレート剤がエチレンジアミン四酢酸、オキシム、8−ヒドロキシキノリン、ポリアルキレンポリアミン又はクラウンエーテルである、請求項5の組成物。
  7. 更に次のものを含む請求項1の組成物:
    (c)ペルオクソ二硫酸アンモニウム、過酢酸、尿素ヒドロペルオキシド、過炭酸ナトリウム又は過ほう酸ナトリウムである酸化剤。
  8. 金属又は誘電体の表面から化学的残留物を除去する方法であって、前記金属又は誘電体の表面を請求項1の組成物と、前記化学的残留物を除くのに充分な時間接触させることを含む方法。
  9. 金属又は誘電体の表面から化学的残留物を除く方法であって、前記化学的残留物を除くのに充分な時間前記金属又は誘電体の表面をpH約3.5〜約7の水性組成物であって、1官能性、2官能性又は3官能性有機酸を含み、当該pHが、緩衝量のヒドロキシルアミン、又はヒドロキシルアミン塩で調節される組成物と接触させることを含む方法。
  10. 前記水性組成物が遷移金属イオン及び可動イオンと錯体形成するキレート剤を含む、請求項9の方法。
  11. 前記キレート剤がエチレンジアミン四酢酸、オキシム、8−ヒドロキシキノリン、ポリアルキレンポリアミン又はクラウンエーテルである、請求項10の方法。
  12. 前記水性組成物が金属フィルム酸化物層を維持する酸化剤を含む、請求項9の方法。
  13. 前記酸化剤がペルオクソ二硫酸アンモニウム、過酢酸、尿素ヒドロペルオキシド、過炭酸ナトリウム又は過ほう酸ナトリウムを含む、請求項12の方法。
  14. 前記水性組成物が約4〜約6のpHを持つ、請求項9の方法。
  15. 金属又は誘電体の表面から化学的残留物を除くための組成物であって、この化学的残留物は先行の工程において適用されており、この化学的残留物から更なる化学的効果を停止するものあり、pH約3.5〜約7の水溶液からなり、次のものを含む組成物:
    (a)1官能性、2官能性又は3官能性有機酸で、ギ酸、酢酸、プロピオン酸、n−酪酸、イソ酪酸、安息香酸、アスコルビン酸、グルコン酸、リンゴ酸、マロン酸、シュウ酸、コハク酸、酒石酸、くえん酸及び没食子酸からなる群から選ばれるもの;並びに
    (b)緩衝量のヒドロキシルアミン、又はヒドロキシルアミン塩。
  16. 前記有機酸がくえん酸である、請求項15の組成物。
  17. 前記組成物が約4〜約6のpHを持つ、請求項16の組成物。
  18. 前記くえん酸が約2〜約11wt%の量で存在する、請求項17の組成物。
  19. 前記有機酸が約1〜約25wt%の量で存在する、請求項15の組成物。
  20. 更に次のものを含む請求項15の組成物:
    (c)ペルオクソ二硫酸アンモニウム、過酢酸、尿素ヒドロペルオキシド、過炭酸ナトリウム又は過ほう酸ナトリウムの酸化剤。
  21. 更に次のものを含有する請求項15の組成物:
    (c)遷移金属イオン又は可動イオンと錯体を形成するキレート剤。
  22. 前記キレート剤がエチレンジアミン四酢酸、オキシム、8−ヒドロキシキノリン、ポリアルキレンポリアミン又はクラウンエーテルである、請求項21の組成物。
JP53593698A 1997-02-14 1998-02-14 後清浄化処理 Expired - Lifetime JP3850039B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/801,911 1997-02-14
US08/801,911 US5981454A (en) 1993-06-21 1997-02-14 Post clean treatment composition comprising an organic acid and hydroxylamine
PCT/US1998/002794 WO1998036045A1 (en) 1997-02-14 1998-02-14 Post clean treatment

Publications (2)

Publication Number Publication Date
JP2001500922A JP2001500922A (ja) 2001-01-23
JP3850039B2 true JP3850039B2 (ja) 2006-11-29

Family

ID=25182341

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53593698A Expired - Lifetime JP3850039B2 (ja) 1997-02-14 1998-02-14 後清浄化処理

Country Status (8)

Country Link
US (2) US5981454A (ja)
EP (1) EP0909311B1 (ja)
JP (1) JP3850039B2 (ja)
KR (1) KR100355212B1 (ja)
AT (1) ATE244751T1 (ja)
DE (1) DE69816219T2 (ja)
TW (1) TW396202B (ja)
WO (1) WO1998036045A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2386623A1 (en) 2010-05-14 2011-11-16 Fujifilm Corporation Cleaning composition, method for producing semiconductor device, and cleaning method

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6121217A (en) 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US20040018949A1 (en) * 1990-11-05 2004-01-29 Wai Mun Lee Semiconductor process residue removal composition and process
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US5645737A (en) * 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6117783A (en) * 1996-07-25 2000-09-12 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6066609A (en) * 1997-07-31 2000-05-23 Siemens Aktiengesellschaft Aqueous solution for cleaning a semiconductor substrate
DE19733391C2 (de) * 1997-08-01 2001-08-16 Siemens Ag Strukturierungsverfahren
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6479443B1 (en) 1997-10-21 2002-11-12 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of copper film
US6294027B1 (en) * 1997-10-21 2001-09-25 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
US6165956A (en) * 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6231677B1 (en) 1998-02-27 2001-05-15 Kanto Kagaku Kabushiki Kaisha Photoresist stripping liquid composition
JP3111979B2 (ja) * 1998-05-20 2000-11-27 日本電気株式会社 ウエハの洗浄方法
US6468909B1 (en) * 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
JP3003684B1 (ja) * 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
US6572453B1 (en) 1998-09-29 2003-06-03 Applied Materials, Inc. Multi-fluid polishing process
GB2342727A (en) * 1998-10-12 2000-04-19 Ekc Technology Ltd Composition to remove resists and tp inhibit titanium corrosion
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
TW467953B (en) * 1998-11-12 2001-12-11 Mitsubishi Gas Chemical Co New detergent and cleaning method of using it
US6878213B1 (en) * 1998-12-07 2005-04-12 Scp Global Technologies, Inc. Process and system for rinsing of semiconductor substrates
WO2000037217A1 (en) * 1998-12-21 2000-06-29 Lam Research Corporation Method for cleaning an abrasive surface
US6103680A (en) * 1998-12-31 2000-08-15 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition and method for removing photoresist and/or plasma etching residues
US6387188B1 (en) 1999-03-03 2002-05-14 Speedfam-Ipec Corporation Pad conditioning for copper-based semiconductor wafers
JP4516176B2 (ja) * 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US6531414B1 (en) * 1999-05-05 2003-03-11 The United States Of America As Represented By The National Security Agency Method of oxidizing strain-compensated superlattice of group III-V semiconductor
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6328905B1 (en) * 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
CN100335580C (zh) * 1999-08-13 2007-09-05 卡伯特微电子公司 含有阻化化合物的抛光系统及其使用方法
US6855266B1 (en) 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
US6482748B1 (en) * 1999-09-03 2002-11-19 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching
US6395693B1 (en) * 1999-09-27 2002-05-28 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
US6537381B1 (en) * 1999-09-29 2003-03-25 Lam Research Corporation Method for cleaning and treating a semiconductor wafer after chemical mechanical polishing
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
US6347978B1 (en) 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6294470B1 (en) 1999-12-22 2001-09-25 International Business Machines Corporation Slurry-less chemical-mechanical polishing
WO2001058643A1 (en) * 2000-02-11 2001-08-16 Nu Tool, Inc. Modified plating solution for plating and planarization and process utilizing same
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
GB0009112D0 (en) * 2000-04-12 2000-05-31 Ekc Technology Ltd Inhibition of titanium corrosion
US6858540B2 (en) 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6436832B1 (en) 2000-05-23 2002-08-20 Applied Materials, Inc Method to reduce polish initiation time in a polish process
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
CN1218222C (zh) 2000-07-10 2005-09-07 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
US6498131B1 (en) 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6762132B1 (en) 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6508953B1 (en) * 2000-10-19 2003-01-21 Ferro Corporation Slurry for chemical-mechanical polishing copper damascene structures
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6709316B1 (en) 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US20040011991A1 (en) * 2001-06-13 2004-01-22 Markle Richard J. Use of a gettering agent in a chemical mechanical polishing and rinsing operation and apparatus therefor
US6653265B2 (en) * 2001-06-20 2003-11-25 Cornell Research Foundation, Inc. Removable marking system
US6485355B1 (en) 2001-06-22 2002-11-26 International Business Machines Corporation Method to increase removal rate of oxide using fixed-abrasive
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
DE10133727A1 (de) * 2001-07-11 2003-01-23 Borchers Gmbh Verwendung von Mischungen spezieller organischer Verbindungen als Hautverhinderungsmittel in lufttrocknenden Lacken
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6821881B2 (en) * 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
KR100434491B1 (ko) * 2001-08-17 2004-06-05 삼성전자주식회사 레지스트 또는 식각 부산물 제거용 조성물 및 이를 이용한레지스트 제거 방법
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
US6838015B2 (en) * 2001-09-04 2005-01-04 International Business Machines Corporation Liquid or supercritical carbon dioxide composition
KR100546169B1 (ko) * 2001-09-21 2006-01-24 주식회사 하이닉스반도체 포토레지스트 제거용 용액 조성물
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US7468105B2 (en) * 2001-10-16 2008-12-23 Micron Technology, Inc. CMP cleaning composition with microbial inhibitor
JP3667273B2 (ja) * 2001-11-02 2005-07-06 Necエレクトロニクス株式会社 洗浄方法および洗浄液
US6736701B1 (en) * 2001-11-20 2004-05-18 Taiwan Semiconductor Manufacturing Company Eliminate broken line damage of copper after CMP
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
US20030119316A1 (en) * 2001-12-21 2003-06-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using oxidizing agents
US6884723B2 (en) * 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US7049237B2 (en) 2001-12-21 2006-05-23 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases
US6730592B2 (en) * 2001-12-21 2004-05-04 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US7121926B2 (en) 2001-12-21 2006-10-17 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP4221191B2 (ja) * 2002-05-16 2009-02-12 関東化学株式会社 Cmp後洗浄液組成物
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US20030224958A1 (en) * 2002-05-29 2003-12-04 Andreas Michael T. Solutions for cleaning polished aluminum-containing layers
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
TW559866B (en) * 2002-08-05 2003-11-01 Macronix Int Co Ltd Method of removing ALF defects after pad etching process
US20060166846A1 (en) * 2002-08-19 2006-07-27 Ying-Hao Li Remover solution
US7037174B2 (en) * 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
TWI309675B (en) * 2002-10-22 2009-05-11 Ekc Technology Inc Aqueous phosphoric acid compositions for cleaning semiconductor devices
JP2004181452A (ja) * 2002-11-30 2004-07-02 Matsushita Electric Ind Co Ltd 洗浄装置、洗浄方法および洗浄剤
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
JP4267331B2 (ja) * 2003-01-14 2009-05-27 株式会社荏原製作所 基板の処理方法及びエッチング液
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
CN101065837A (zh) * 2004-03-30 2007-10-31 巴斯福股份公司 用于去除蚀刻后残留物的水溶液
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
KR100638974B1 (ko) * 2004-12-29 2006-10-26 동부일렉트로닉스 주식회사 반도체 소자의 알루미늄 합금 라인 세정 방법
KR101331747B1 (ko) * 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
WO2006105020A1 (en) 2005-03-25 2006-10-05 Dupont Air Products Nanomaterials Llc Dihydroxy enol compounds used in chemical mechanical polishing compositions having metal ion oxidizers
WO2007094869A2 (en) * 2005-10-31 2007-08-23 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
US7362116B1 (en) 2005-11-09 2008-04-22 Electroglas, Inc. Method for probing impact sensitive and thin layered substrate
WO2007111694A2 (en) * 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
EP2082024A4 (en) * 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7691287B2 (en) * 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
KR101622862B1 (ko) * 2007-05-17 2016-05-19 엔테그리스, 아이엔씨. Cmp후 세정 제제용 신규한 항산화제
TWI446425B (zh) * 2007-08-29 2014-07-21 Applied Materials Inc 高生產量及低表面形貌的銅化學機械研磨製程
WO2009032322A1 (en) * 2007-09-06 2009-03-12 Ekc Technology, Inc. Compositions and method for treating a copper surface
US8802609B2 (en) 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
WO2009058277A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Novel nitrile and amidoxime compounds and methods of preparation
WO2009058274A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
TW200940705A (en) * 2007-10-29 2009-10-01 Ekc Technology Inc Copper CMP polishing pad cleaning composition comprising of amidoxime compounds
WO2009058278A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
US8084406B2 (en) 2007-12-14 2011-12-27 Lam Research Corporation Apparatus for particle removal by single-phase and two-phase media
CN102197124B (zh) 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
US7838483B2 (en) * 2008-10-29 2010-11-23 Ekc Technology, Inc. Process of purification of amidoxime containing cleaning solutions and their use
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
JP5646882B2 (ja) * 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
JP2013521646A (ja) * 2010-03-05 2013-06-10 ラム リサーチ コーポレーション ダマシン処理によるサイドウォールポリマー用の洗浄溶液
US8602842B2 (en) * 2010-03-12 2013-12-10 Wayne O. Duescher Three-point fixed-spindle floating-platen abrasive system
US8500515B2 (en) * 2010-03-12 2013-08-06 Wayne O. Duescher Fixed-spindle and floating-platen abrasive system using spherical mounts
US8740668B2 (en) * 2010-03-12 2014-06-03 Wayne O. Duescher Three-point spindle-supported floating abrasive platen
US8647171B2 (en) * 2010-03-12 2014-02-11 Wayne O. Duescher Fixed-spindle floating-platen workpiece loader apparatus
WO2012097143A2 (en) * 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
EP2699361A2 (en) * 2011-01-28 2014-02-26 Emery Oleochemicals LLC Process for cleaning an apparatus, and cleaning compositions
WO2012103330A2 (en) 2011-01-29 2012-08-02 Emery Oleochemicals Llc An improved method of purifying a dicarboxylic acid
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
US8859428B2 (en) 2012-10-19 2014-10-14 Air Products And Chemicals, Inc. Chemical mechanical polishing (CMP) composition for shallow trench isolation (STI) applications and methods of making thereof
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
DE102013201883A1 (de) * 2013-02-05 2014-08-07 Urs Brodbeck Behandlungsflüssigkeit zur Reinigung eines Implantat-Teils
ES2724850T3 (es) 2012-11-14 2019-09-16 Zyfoma Gmbh Elemento de tratamiento para el uso con una parte de un implante dental, sistema de tratamiento y procedimiento para la limpieza de una parte de un implante dental
US9263275B2 (en) 2013-03-12 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9105578B2 (en) * 2013-03-12 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
KR102134577B1 (ko) * 2013-11-12 2020-07-16 주식회사 동진쎄미켐 화학적 기계적 연마 후 세정용 조성물
CN105529284A (zh) * 2014-09-29 2016-04-27 盛美半导体设备(上海)有限公司 一种抛光及清洗晶圆的半导体设备及方法
EP3245668B1 (en) 2015-01-13 2021-06-30 CMC Materials, Inc. Cleaning composition and method for cleaning semiconductor wafers after cmp
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
CN108822670A (zh) * 2018-05-19 2018-11-16 保定维特瑞交通设施工程有限责任公司 一种pcb电路板焊接超声波防静电在线清洗材料及其制备方法
CN114072488A (zh) * 2019-05-01 2022-02-18 富士胶片电子材料美国有限公司 蚀刻组合物
CN111450714A (zh) * 2020-04-17 2020-07-28 蓝星(杭州)膜工业有限公司 一种多元缓冲体系制备复合纳滤膜的方法
US20240076506A1 (en) * 2022-09-02 2024-03-07 KopMan LLC Method and system for treating equipment surface

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2861906A (en) * 1956-10-15 1958-11-25 Kelsey Hayes Co Metal treatment
US3085915A (en) * 1958-03-13 1963-04-16 Siemens Ag Method of removing rust from ironcontaining materials, particularly for the cleaning of boiler plants
CH422470A (fr) * 1963-08-10 1966-10-15 Borg Holding Ag Procédé de décapage et de nettoyage d'ouvrages au moins en partie métalliques, notamment d'installations thermiques
ZA656244B (ja) * 1964-11-27
US3702427A (en) * 1971-02-22 1972-11-07 Fairchild Camera Instr Co Electromigration resistant metallization for integrated circuits, structure and process
DE2154234A1 (de) * 1971-10-30 1973-05-03 Licentia Gmbh Verfahren zum entfernen von elektrisch aktiven verunreinigungen
US3887446A (en) * 1974-07-26 1975-06-03 Us Navy Electrochemical preparation of metallic tellurides
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
GB1573206A (en) * 1975-11-26 1980-08-20 Tokyo Shibaura Electric Co Method of trating surfaces of intermediate products obtained in the manufacture of semiconductor devices
GB1519105A (en) * 1975-12-02 1978-07-26 Fuji Photo Film Co Ltd Treating of photographic processing solutions
JPS5479131A (en) * 1977-12-07 1979-06-23 Okuno Chem Ind Co Electrolytic bath for removing electrodeposited metal on stainless steel substrate
US4227941A (en) * 1979-03-21 1980-10-14 Massachusetts Institute Of Technology Shallow-homojunction solar cells
US4363741A (en) * 1980-12-19 1982-12-14 Borden, Inc. Automotive cooling system cleaner
JPS58147742A (ja) * 1982-02-25 1983-09-02 Konishiroku Photo Ind Co Ltd ハロゲン化銀カラ−写真感光材料
US4569728A (en) * 1984-11-01 1986-02-11 The United States Of America As Represented By The Secretary Of The Air Force Selective anodic oxidation of semiconductors for pattern generation
JPS62250189A (ja) * 1986-04-21 1987-10-31 Kurita Water Ind Ltd 化学洗浄剤
US5236565A (en) * 1987-04-11 1993-08-17 Metallgesellschaft Aktiengesellschaft Process of phosphating before electroimmersion painting
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
JPH0238498A (ja) * 1988-07-28 1990-02-07 Aiko Mizunoya 表面洗浄剤
US5143592A (en) * 1990-06-01 1992-09-01 Olin Corporation Process for preparing nonconductive substrates
US5078801A (en) * 1990-08-14 1992-01-07 Intel Corporation Post-polish cleaning of oxidized substrates by reverse colloidation
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
CA2059841A1 (en) * 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5556482A (en) * 1991-01-25 1996-09-17 Ashland, Inc. Method of stripping photoresist with composition containing inhibitor
JP3048207B2 (ja) * 1992-07-09 2000-06-05 イー.ケー.シー.テクノロジー.インコーポレイテッド 還元及び酸化電位を有する求核アミン化合物を含む洗浄剤組成物およびこれを使用した基板の洗浄方法
JP2857042B2 (ja) * 1993-10-19 1999-02-10 新日本製鐵株式会社 シリコン半導体およびシリコン酸化物の洗浄液
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
FR2722511B1 (fr) * 1994-07-15 1999-04-02 Ontrak Systems Inc Procede pour enlever les metaux dans un dispositif de recurage
US5885901A (en) * 1994-08-11 1999-03-23 Texas Instruments Incorporated Rinsing solution after resist stripping process and method for manufacturing semiconductor device
US5597420A (en) * 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5662769A (en) * 1995-02-21 1997-09-02 Advanced Micro Devices, Inc. Chemical solutions for removing metal-compound contaminants from wafers after CMP and the method of wafer cleaning
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5612304A (en) * 1995-07-07 1997-03-18 Olin Microelectronic Chemicals, Inc. Redox reagent-containing post-etch residue cleaning composition
US5705472A (en) * 1995-07-18 1998-01-06 Petroferm Inc. Neutral aqueous cleaning composition
US5645737A (en) * 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2386623A1 (en) 2010-05-14 2011-11-16 Fujifilm Corporation Cleaning composition, method for producing semiconductor device, and cleaning method
US8617417B2 (en) 2010-05-14 2013-12-31 Fujifilm Corporation Cleaning composition, method for producing semiconductor device, and cleaning method

Also Published As

Publication number Publication date
DE69816219D1 (de) 2003-08-14
KR100355212B1 (ko) 2002-12-26
EP0909311B1 (en) 2003-07-09
EP0909311A1 (en) 1999-04-21
WO1998036045A1 (en) 1998-08-20
ATE244751T1 (de) 2003-07-15
US6156661A (en) 2000-12-05
TW396202B (en) 2000-07-01
KR20000064914A (ko) 2000-11-06
EP0909311A4 (en) 2001-02-28
JP2001500922A (ja) 2001-01-23
DE69816219T2 (de) 2004-04-22
US5981454A (en) 1999-11-09

Similar Documents

Publication Publication Date Title
JP3850039B2 (ja) 後清浄化処理
US6546939B1 (en) Post clean treatment
TWI507521B (zh) 銅鈍化之後段化學機械拋光清洗組成物及利用該組成物之方法
JP5097640B2 (ja) 化学機械平坦化(cmp)後の洗浄組成物
JP4942275B2 (ja) 化学的機械的平坦化(cmp)後の清浄化組成物
US7922823B2 (en) Compositions for processing of semiconductor substrates
TWI237659B (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20040134873A1 (en) Abrasive-free chemical mechanical polishing composition and polishing process containing same
KR101997950B1 (ko) 반도체 디바이스용 세정액 및 반도체 디바이스용 기판의 세정 방법
JP2016127291A (ja) 高いwn/w選択率を有するストリッピング組成物
WO2002094462A1 (fr) Procede de nettoyage de la surface d'un substrat
US6858124B2 (en) Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6884338B2 (en) Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
EP2812422B1 (en) A post chemical-mechanical-polishing (post-cmp) cleaning composition comprising a specific sulfur-containing compound and a sugar alcohol
KR101572639B1 (ko) Cmp 후 세정액 조성물
KR102242969B1 (ko) 반도체 기판용 세정액 조성물
KR20160059993A (ko) 구리 함유 기판용 세정액
KR20190016093A (ko) 포스트 화학적-기계적-폴리싱 세정용 조성물
EP2687589A2 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP2015203047A (ja) 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040817

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20041112

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20041227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20051011

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060330

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060420

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060801

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060829

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100908

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100908

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110908

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120908

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130908

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term