KR100345420B1 - 플라즈마처리장치 - Google Patents

플라즈마처리장치 Download PDF

Info

Publication number
KR100345420B1
KR100345420B1 KR1019960020284A KR19960020284A KR100345420B1 KR 100345420 B1 KR100345420 B1 KR 100345420B1 KR 1019960020284 A KR1019960020284 A KR 1019960020284A KR 19960020284 A KR19960020284 A KR 19960020284A KR 100345420 B1 KR100345420 B1 KR 100345420B1
Authority
KR
South Korea
Prior art keywords
insulating member
plasma
electrode
lower electrode
upper insulating
Prior art date
Application number
KR1019960020284A
Other languages
English (en)
Korean (ko)
Other versions
KR970003610A (ko
Inventor
아끼라 고시이시
마사히로 오가사와라
게이조 히로세
가즈야 나가세끼
리끼 도모요리
마코토 아오키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP07166866A external-priority patent/JP3121524B2/ja
Priority claimed from JP7169209A external-priority patent/JPH08339895A/ja
Priority claimed from JP17137095A external-priority patent/JP3162955B2/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR970003610A publication Critical patent/KR970003610A/ko
Application granted granted Critical
Publication of KR100345420B1 publication Critical patent/KR100345420B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
KR1019960020284A 1995-06-07 1996-06-07 플라즈마처리장치 KR100345420B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP07166866A JP3121524B2 (ja) 1995-06-07 1995-06-07 エッチング装置
JP95-166866 1995-06-07
JP16806795 1995-06-08
JP95-168067 1995-06-08
JP95-169209 1995-06-12
JP7169209A JPH08339895A (ja) 1995-06-12 1995-06-12 プラズマ処理装置
JP95-171370 1995-06-13
JP17137095A JP3162955B2 (ja) 1995-06-13 1995-06-13 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR970003610A KR970003610A (ko) 1997-01-28
KR100345420B1 true KR100345420B1 (ko) 2002-11-21

Family

ID=27474095

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960020284A KR100345420B1 (ko) 1995-06-07 1996-06-07 플라즈마처리장치

Country Status (3)

Country Link
US (1) US5919332A (US20030180458A1-20030925-M00011.png)
KR (1) KR100345420B1 (US20030180458A1-20030925-M00011.png)
TW (2) TW323387B (US20030180458A1-20030925-M00011.png)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100699681B1 (ko) 2005-12-28 2007-03-23 동부일렉트로닉스 주식회사 반도체 제조 장치
WO2008088668A1 (en) * 2007-01-17 2008-07-24 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled rf plasma reactor
KR101455789B1 (ko) * 2013-08-06 2014-11-03 주식회사 알지비하이텍 기판처리장치의 서셉터 및 그를 가지는 기판처리장치
KR20160002544A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
KR101591128B1 (ko) * 2008-12-19 2016-02-02 램 리써치 코포레이션 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치
KR20200109718A (ko) * 2019-03-14 2020-09-23 세메스 주식회사 기판 처리 장치 및 이의 에지 링

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6149730A (en) * 1997-10-08 2000-11-21 Nec Corporation Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11193470A (ja) * 1997-12-26 1999-07-21 Canon Inc 堆積膜形成装置及び堆積膜形成方法
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
KR100521290B1 (ko) * 1998-06-24 2005-10-17 가부시키가이샤 히타치세이사쿠쇼 드라이 에칭 장치 및 반도체 장치의 제조 방법
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
JP4213790B2 (ja) * 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
WO2000041228A1 (fr) * 1998-12-28 2000-07-13 Tokyo Electron Yamanashi Limited Procede de traitement au plasma
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6700089B1 (en) * 1999-03-30 2004-03-02 Tokyo Electron Limited Plasma processing device, its maintenance method, and its installation method
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
JP2001057359A (ja) * 1999-08-17 2001-02-27 Tokyo Electron Ltd プラズマ処理装置
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
KR100583134B1 (ko) * 1999-11-16 2006-05-24 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
US6268293B1 (en) * 1999-11-18 2001-07-31 International Business Machines Corporation Method of forming wires on an integrated circuit chip
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
TW484187B (en) 2000-02-14 2002-04-21 Tokyo Electron Ltd Apparatus and method for plasma treatment
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
TWI334888B (US20030180458A1-20030925-M00011.png) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
KR100842947B1 (ko) * 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
KR100526007B1 (ko) * 2000-12-28 2005-11-08 엘지.필립스 엘시디 주식회사 플라즈마 에칭장치
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US20030213561A1 (en) * 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
JP2002270598A (ja) * 2001-03-13 2002-09-20 Tokyo Electron Ltd プラズマ処理装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
KR100433008B1 (ko) * 2001-04-18 2004-05-31 (주)소슬 플라즈마 식각 장치
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2002367971A (ja) * 2001-06-13 2002-12-20 Mitsubishi Electric Corp プラズマ処理装置およびこれを用いた処理方法並びに半導体装置の製造方法
JP2002373929A (ja) * 2001-06-14 2002-12-26 Tokyo Electron Ltd ウエハ支持体
KR100491140B1 (ko) * 2001-06-15 2005-05-27 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면 세정방법 및 장치
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
EP1310466A3 (en) * 2001-11-13 2003-10-22 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
US6926774B2 (en) * 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
KR100979575B1 (ko) * 2002-01-17 2010-09-01 썬듀 테크놀로지스 엘엘씨 원자층 침착 장치 및 이의 제조방법
AU2003228226A1 (en) * 2002-03-12 2003-09-29 Tokyo Electron Limited An improved substrate holder for plasma processing
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3821065B2 (ja) * 2002-07-04 2006-09-13 東京エレクトロン株式会社 プラズマ処理装置、上部電極カバー、及び上部電極カバー用窓部
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
WO2004025199A1 (ja) * 2002-09-10 2004-03-25 Tokyo Electron Limited 処理装置,および,処理装置のメンテナンス方法
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US7582186B2 (en) * 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
KR100657054B1 (ko) * 2003-01-07 2006-12-13 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 포커스 링
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
JP4336124B2 (ja) * 2003-03-10 2009-09-30 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4991286B2 (ja) * 2003-03-21 2012-08-01 東京エレクトロン株式会社 処理中の基板裏面堆積を減らす方法および装置。
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US8349086B2 (en) * 2004-07-30 2013-01-08 United Technologies Corporation Non-stick masking fixtures and methods of preparing same
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
JP4704088B2 (ja) 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
KR100737715B1 (ko) * 2005-05-26 2007-07-10 주식회사 에이디피엔지니어링 플라즈마 처리장치
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
CN1909760B (zh) * 2005-08-05 2010-07-21 中微半导体设备(上海)有限公司 真空反应室及其处理方法
JP4107316B2 (ja) * 2005-09-02 2008-06-25 株式会社日立プラントテクノロジー 基板貼合装置
JP4865352B2 (ja) 2006-02-17 2012-02-01 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
US8157953B2 (en) * 2006-03-29 2012-04-17 Tokyo Electron Limited Plasma processing apparatus
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
KR100763532B1 (ko) * 2006-08-17 2007-10-05 삼성전자주식회사 웨이퍼 지지장치, 웨이퍼 노광 장치 및 웨이퍼 지지방법
CN101356625B (zh) * 2006-10-03 2012-05-23 松下电器产业株式会社 等离子体掺杂方法以及装置
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP2008187062A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp プラズマ処理装置
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
JP4731580B2 (ja) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 成膜方法および成膜装置
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
CN101740298B (zh) * 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
WO2010079753A1 (ja) * 2009-01-09 2010-07-15 株式会社アルバック プラズマ処理装置
JP5643528B2 (ja) 2009-03-30 2014-12-17 東京エレクトロン株式会社 基板処理装置
JP5501807B2 (ja) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
WO2011013746A1 (ja) * 2009-07-31 2011-02-03 株式会社アルバック 成膜装置
SG10201405469WA (en) * 2009-09-28 2014-10-30 Lam Res Corp Unitized confinement ring arrangements and methods thereof
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
JP5759718B2 (ja) * 2010-12-27 2015-08-05 東京エレクトロン株式会社 プラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
JP2013258270A (ja) * 2012-06-12 2013-12-26 Tokyo Electron Ltd 基板載置台及び基板処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012111896A1 (de) * 2012-12-06 2014-06-12 Aixtron Se CVD-Reaktor mit einem mechanischen Reinigungselement zum Reinigen eines Gasauslassrings
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
CN103397308A (zh) * 2013-08-01 2013-11-20 光垒光电科技(上海)有限公司 用于mocvd设备的喷淋头
CN103436856A (zh) * 2013-08-01 2013-12-11 光垒光电科技(上海)有限公司 反应腔室
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6456601B2 (ja) * 2014-05-07 2019-01-23 東京エレクトロン株式会社 プラズマ成膜装置
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) * 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP2018513567A (ja) * 2015-04-24 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フローアイソレータリングを含むプロセスキット
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6108051B1 (ja) * 2015-09-25 2017-04-05 住友大阪セメント株式会社 静電チャック装置
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
KR20170073757A (ko) * 2015-12-18 2017-06-29 삼성전자주식회사 플라즈마 처리 장치용 상부 전극 및 이를 포함하는 플라즈마 처리 장치
CN109156074B (zh) * 2016-03-03 2021-12-28 核心技术株式会社 等离子体处理装置及等离子处理用反应容器的结构
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US20170335459A1 (en) * 2016-05-17 2017-11-23 Applied Materials, Inc. Non-shadow frame plasma processing chamber
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10879051B2 (en) * 2016-11-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6750534B2 (ja) * 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354844B2 (en) 2017-05-12 2019-07-16 Asm Ip Holding B.V. Insulator structure for avoiding abnormal electrical discharge and plasma concentration
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
JP6895813B2 (ja) * 2017-06-08 2021-06-30 東京エレクトロン株式会社 プラズマ溶射ヘッド、プラズマ溶射装置及びプラズマ溶射方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10872804B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7101628B2 (ja) * 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体
US20200365375A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Stray plasma prevention apparatus for substrate process chamber
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
KR102585287B1 (ko) * 2020-09-08 2023-10-05 세메스 주식회사 기판 처리 장치 및 이의 커버링
US20220328293A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Isolator for processing chambers

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6269620A (ja) * 1985-09-24 1987-03-30 Anelva Corp プラズマ処理装置
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100699681B1 (ko) 2005-12-28 2007-03-23 동부일렉트로닉스 주식회사 반도체 제조 장치
WO2008088668A1 (en) * 2007-01-17 2008-07-24 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled rf plasma reactor
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8080760B2 (en) 2007-01-17 2011-12-20 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
KR101591128B1 (ko) * 2008-12-19 2016-02-02 램 리써치 코포레이션 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치
KR101455789B1 (ko) * 2013-08-06 2014-11-03 주식회사 알지비하이텍 기판처리장치의 서셉터 및 그를 가지는 기판처리장치
KR20160002544A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
KR102240923B1 (ko) 2014-06-30 2021-04-15 세메스 주식회사 기판 처리 장치
KR20200109718A (ko) * 2019-03-14 2020-09-23 세메스 주식회사 기판 처리 장치 및 이의 에지 링
KR102175087B1 (ko) * 2019-03-14 2020-11-05 세메스 주식회사 기판 처리 장치 및 이의 에지 링

Also Published As

Publication number Publication date
US5919332A (en) 1999-07-06
TW434745B (en) 2001-05-16
KR970003610A (ko) 1997-01-28
TW323387B (US20030180458A1-20030925-M00011.png) 1997-12-21

Similar Documents

Publication Publication Date Title
KR100345420B1 (ko) 플라즈마처리장치
RU2237314C2 (ru) Камера плазменной обработки и способ обработки полупроводниковой подложки в такой камере
KR100624273B1 (ko) 플라즈마 처리 장치
JP5165039B2 (ja) プラズマ処理システムにおける改良された堆積シールド
KR101141488B1 (ko) 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US6554954B2 (en) Conductive collar surrounding semiconductor workpiece in plasma chamber
KR100657054B1 (ko) 플라즈마 처리 장치 및 포커스 링
JP3192370B2 (ja) プラズマ処理装置
US8124539B2 (en) Plasma processing apparatus, focus ring, and susceptor
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
JP4589115B2 (ja) プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための装置
JP4627659B2 (ja) プラズマ処理システムにおける改良されたベローズシールドのための装置
JPH08339895A (ja) プラズマ処理装置
KR20040038875A (ko) 유전체 식각 방법
US20050041238A1 (en) Method of using a sensor gas to determine erosion level of consumable system components
KR102396430B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP3162955B2 (ja) プラズマ処理装置
US8342121B2 (en) Plasma processing apparatus
JPWO2002058125A1 (ja) プラズマ処理装置およびプラズマ処理方法
JP3113796B2 (ja) プラズマ処理装置
JP2021534587A (ja) 処理チャンバ用コーティング材料
JPH10284475A (ja) 処理方法
JP4602528B2 (ja) プラズマ処理装置
KR20090103711A (ko) 플라즈마 처리장치, 챔버내 부품 및 챔버내 부품의 수명 검출 방법
JP6298293B2 (ja) 基板処理装置、シャッタ機構およびプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140701

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 14

EXPY Expiration of term