KR100316221B1 - 얕은트렌치격리신기술 - Google Patents

얕은트렌치격리신기술 Download PDF

Info

Publication number
KR100316221B1
KR100316221B1 KR1019980702311A KR19980702311A KR100316221B1 KR 100316221 B1 KR100316221 B1 KR 100316221B1 KR 1019980702311 A KR1019980702311 A KR 1019980702311A KR 19980702311 A KR19980702311 A KR 19980702311A KR 100316221 B1 KR100316221 B1 KR 100316221B1
Authority
KR
South Korea
Prior art keywords
trench
oxide layer
silicon substrate
silicon nitride
etching
Prior art date
Application number
KR1019980702311A
Other languages
English (en)
Other versions
KR19990063841A (ko
Inventor
피터 케이. 문
버니 더블유. 랜다우
데이비드 티. 크릭
Original Assignee
피터 엔. 데트킨
인텔 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피터 엔. 데트킨, 인텔 코오퍼레이션 filed Critical 피터 엔. 데트킨
Publication of KR19990063841A publication Critical patent/KR19990063841A/ko
Application granted granted Critical
Publication of KR100316221B1 publication Critical patent/KR100316221B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • H01L21/76235Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • H01L21/76221Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO with a plurality of successive local oxidation steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

트렌치 격리영역을 형성하는 방법. 본발명의 방법은 반도체 기판에 개구를 형성하는 단계, 그 개구를 첫 번째로 산화하는 단계, 그 다음 그 산화된 개구를 HF로 구성된 습식 에칭제로 에칭하는 단계로 구성되어 있다. 그 다음, 그 창은 두 번째로 산화된다.

Description

얕은 트렌치 격리 신기술{NOVEL SHALLOW TRENCH ISOLATION TECHNIQUE}
최근의 집적회로는 실리콘기판과 같은 단일 반도체 기판에 형성된 트랜지스터와 커패시터와 같은 다수의 개별소자로 사실상 구성되어 있다. 그 개별소자는 실리콘의 로컬산화(LOCOS), 오목 LOCOS, 및 트렌치와 같은 여러 격리기술을 사용하여 서로 전기적으로 격리된다.
LOCOS 격리공정은 금속산화막 반도체(MOS) 소자를 격리시키는데 가장 광범위하게 사용되는 기술이다. 전형적인 LOCOS 격리영역이 도 1에 도시되어 있다. LOCOS 격리공정에서, 질화규소 마스크(102)와 패드산화층(104)이 전기장 격리영역(106)(즉, 전기장산화영역)을 선택적으로 성장시키는데 사용된다. 질화규소 마스크는 능동소자가 형성되는 지점에서 실리콘 기판의 산화를 방지한다. LOCOS 격리기술이 가지고 있는 문제점은 산화단계에서 격리영역에 인접한 실리콘의 상당량이 없어진다는 것이다. 이 현상은 "새부리 형상부(bird's beak)"(110)로 알려진 것을 발생시킨다. "새부리 형상부"의 장점은 인접 트랜지스터의누설전류(Ioff)를 감소시키는데 도움이 된다는 것이다. 그러나, "새부리 형상부"의 문제점은 격리영역(106)의 면적이 증가되어 능동소자에 이용할수 있는 실리콘의 양이 감소된다(즉, 소자 기억밀도를 감소시킨다)는 것이다. LOCOS 격리기술이 가지고 있는 다른 결점은 격리영역(106)의 약 45% 가 실리콘기판(108) 위에 성장되어 사진석판술과 같은 하류공정단계에 나쁜 영향을 미칠수 있는 비평면 형태를 야기한다는 것이다. 이런 이유로, LOCOS 공정은 최근의 극초대규모 집적(ULSI)회로의 제조에 잘 맞지 않다.
오목 LOCOS 격리구조는 홈(groove) 또는 오목부가 산화단계에 앞서 실리콘기판에 에칭되는 것을 제외하고 LOCOS 격리구조와 유사하다. 그 다음, 산화단계는 에칭된 공간에 산화물을 성장시켜 상대적으로 평면인 격리영역을 형성한다. 그러나, 오목 LOCOS 공정은 새부리 형상부의 형성으로 인하여 어느 정도 비평면인 형태와 측면 침식이 생긴다.
최근에 상당한 주목을 받은 다른 격리기술이 트렌치 격리이다. 트렌치 격리 공정에서, 트렌치 또는 홈은 도 2에 도시된 실리콘기판(200)에 먼저 에칭된다. 다음, 산화층이 질화규소 마스크(206)를 이용하여 트렌치의 측벽에 선택적으로 성장된다. 그러면, 산화층 또는 다른 보충물질이 기판위에 그리고 트렌치를 재보충하기 위해 트렌치에 블랭킷 증착된다. 그러면, 증착된 산화막은 실리콘기판과 실제로 평탄한 격리구조를 형성하도록 뒤에 에칭된다.
트렌치 격리공정은 LOCOS 공정보다 적은 기판영역을 필요로 하고, 그래서 더높은 밀도의 집적회로를 제조할수 있으므로 LOCOS 공정보다 바람직하다. 또 트렌치 격리공정은 전형적으로 평면 형태의 격리구조를 만들고, 이것은 사진 석판술과 같은 차후 공정을 개선한 것이다.
본 트렌치 격리기술의 문제점은 이상한 외형과 도핑 프로파일이 상당한 양의 트랜지스터 소스-드레인 누설 전류(Ioff)를 발생시킨다는 것이다. 즉, 본 트렌치 격리형성기술로, 트랜지스터가 오프일때(즉, 채널이 비전도 상태일 때), 상당한 양의 전류가 소스에서 드레인으로 누설되는 확산/격리 트랜지스터 에지에 저저항 경로가 만들어진다. 트랜지스터 누설전류는 제조된 집적회로내의 대기전류를 제어하기 위해서 최소화되어야 한다.
따라서, 요구되는 것은 소소-드레인 누설전류가 실제로 감소되는 트렌치 격리구조를 제조하는 방법이다.
본 발명은 반도체 집적회로 제조방법에 관한 것이고, 더 상세하게 반도체 기판에 얕은 트렌치 격리 영역을 형성하는 방법에 관한 것이다.
도 1은 종래의 LOCOS 격리구조의 단면도,
도 2도는 종래의 트렌치 격리구조의 단면도,
도 3a은 자체에 형성된 패드 산화층, 반도체 질화규소층, 및 포토레지스터층을 가진 반도체 기판의 단면도,
도 3b는 도 3a의 기판의 트렌치 형성을 도시한 단면도,
도 3c는 도 3b의 기판의 제 1 트렌치 습식 에칭의 결과를 도시한 단면도,
도 3d는 도 3c의 기판상의 제 1 산화층의 성장을 도시한 단면도,
도 3e는 도 3d의 기판상의 트렌치 습식 에칭의 결과를 도시한 단면도,
도 3f는 도 3e의 기판상의 산화층의 성장을 도시한 단면도,
도 3g는 도 3f의 기판상의 제 1 산화층의 증착을 도시한 단면도,
도 3h는 도 3g의 기판상의 스퍼터 에칭을 도시한 단면도,
도 3i는 도 3h의 기판상의 제 2 산화층의 증착을 도시한 단면도,
도 3j는 도 3i의 기판상의 연마(polishing)를 도시한 단면도,
도 3k는 도 3j의 기판으로부터의 질산화층과 패드산화막의 제거와 게이트 산화층의 형성을 도시한 단면도.
본 발명은 실리콘기판에 얕은 트렌치 격리구조를 형성하는 방법을 설명하고 있다. 본 발명의 바람직한 실시예에 따라서, 패드산화층이 실리콘기판상에 형성되고, 질화규소층은 패드산화층에 형성된다. 다음, 트렌치는 실리콘기판에 경사진 측벽을 가진 트렌치를 형성하기 위해서, 질화규소층, 패드 산화층을 통해, 실리콘기판에 에칭된다. 그 다음, 그 트렌치는 질화규소층 아래로부터 패드산화층의 일부를 에칭하여 그 트렌치에 인접한 실리콘기판의 상부 표면의 일부를 노출시키기 위해서 HF로 구성된 에칭제로 습식 에칭된다. 다음, 그 트렌치는 산화층을 트렌치측벽과 트렌치에 인접한 실리콘기판의 노출된 상부 일부로부터 첫 번째로 산화된다. 다음에, 성장된 산화층은 HF로 구성된 습식 에칭제로 트렌치 측벽과 실리콘기판의 상부 일부로부터 에칭된다. 다음, 트렌치의 측벽과 트렌치와 인접한 실리콘기판의 일부가 그 위에 성장된 제 2 산화층을 형성하기 위해 두 번째로 산화된다. 최종적으로 트렌치 보충물질은 트렌치에 증착되고 평면형태로 얕은 트렌치 격리구조를 형성하기 위해 질화규소층에 대하여 평면화된다. 본 발명의 트렌치 격리구조는 인접한 트랜지스터 누설전류를 실제로 제거하고 균일한 고밀도 공간자유 격리영역을 형성한다.
본 발명은 얕은 트렌치 격리구조를 제조하는 새로운 방법을 설명하고 있다. 다음 설명에서, 본 발명의 충분한 이해를 위해 특정 공정단계물질, 및 칫수 등과 같은 다수의 특정 세목이 설명되어 있다. 그러나, 이러한 특정 세목없이도 본 발명은 실행될 수 있다는 것을 당업자는 알 것이다. 다른예로, 공지된 집적회로 제조단계 및 장비는 본 발명을 불필요하게 모호하지 않게 하기 위해서 설명되지 않았다.
본 발명은 반도체 기판에 형성된 개별 트랜지스터를 격리하는데 사용될수 있고, 또한 원한다면, P웰(well)과 N웰을 격리하는데 사용될수 있는 얕은 트렌치 격리(STI) 구조를 제조하는 새로운 방법이다. 본 발명의 방법은 평면형태를 가진 공간자유 고밀도 트렌치 격리구조를 형성한다. 본 발명의 방법은 트렌치 격리구조를 제공하고, 여기서 인접 트랜지스터의 누설 전류(Ioff)는 실제로 감소되거나 제거된다(즉, 트랜지스터가 오프일 때 소스에서 드레인으로 흐르는 전류(Ioff)의 양은 감소한다).
본 발명의 방법에 따라서, 집적회로의 능동소자가 결국 형성될수 있는 반도체 기판(300)이 제공된다. 반도체 기판(300)은 바람직하게 단결정 실리콘이지만, 제한되어 있지 않지만 갈륨 비소화물의 게르마늄을 포함한 다른 유형의 반도체 물질이거나 포함할수 있다. 또 반도체기판(300)은 단일 또는 복수의 에피택셜층을 포함할수 있다. 더욱이, 반도체기판(300)은 절연체상의 실리콘(SOI) 공정의 경우에 산화층을 포함할수 있거나 사파이어상의 실리콘(SOS) 공정의 경우에 사파이어 층을 포함할수 있다. 본 발명의 바람직한 실시예에 따라서, 기판(300)은 자체에 형성된 실리콘 에피택셜층을 가진 벌크 실리콘층을 포함하고 있다.
도 3a에 도시된 본발명의 제 1 단계는 실리콘기판(300)상에 얇은 패드산화층(302)을 형성하는 것이다. 패드 산화층(302)은 실리콘기판(300)과 차후 형성되는 질화규소층 사이의 응력을 경감시키는데 사용된다. 본 발명의 바람직한 실시예에 따라서, 약 100ű 10Å 두께의 패드 산화층이 기판(300)상에 성장된다. 패드산화층(302)의 두께는 얕은 트렌치 산화막에 작은 재생 새부리 형상부를 적당한 최종 트렌치 면적에 제공하도록 선택된다. 추가로, 패드 산화층(302)은 차후 질화규소 제거단계에 에칭 방지막을 제공하기에 충분한 두께가 바람직하게 형성된다. 본발명의 바람직한 실시예에 따라서, 패드 산화층(302)은 약 900℃의 코쿠사이 버트론 Ⅲ 수직 확산로의 산소와 디클로로에틸렌(DCE) 분위기에서 성장된다. DCE의 사용은 실리콘기판(300)으로부터 금속오염막을 게터(getter)함으로써 클린(clean)기판을 제공하는데 도움이 된다. 금속 오염막은 제거되는 가스 금속 염화물을 형성하는 DCE로부터의 염소와 반응한다. 그러나, 임의의 공지된 방법이 원한다면, 패드산화층(302)을 형성하는데 사용될수 있다.
다음, 질화규소(304)의 균일층이 패드산화층(302)상에 형성된다. 질화규소층(304)은 차후의 얕은 트렌치 산화막의 성장을 위해 산화 장벽을 제공하고, 또한 차후의 얕은 트렌치의 평면화 단계를 위해 "연마방지막"을 제공한다. 본발명의 바람직한 실시예에 따라서, 약 1800ű200Å의 질화규소층이 패드산화층(302)상에 형성된다. 질화규소층(304)의 두께는 얕은 트렌치의 평면화후, 게이트 산화물이 성장하게 되는 실리콘 표면이 트렌치 보충물질보다 더 낮게 될 수 있도록 선택된다. 질화규소층(304)은 공지된 방법에 의해 형성될수 있다. 다른 적합한 산화 내성마스크 물질 또는 물질의 화합물이 질화규소층(304)과 패드산화층(302) 대신 사용될수 있다는 것을 알수 있다.
다음, 본 발명에 따라서 포토레지스터층(306)은 질화규소층(304)위에 증착된다. 그러면, 포토레지스터층은 트렌치 격리영역이 형성되는 곳에서 포토레지스터층(306)의 위치(308)를 한정하도록 마스크되고, 노출되고 성장된다. 본 발명의 새로운 방법으로, 좁고 높은 밀도의 격리영역(4000Å폭 미만)이 웨이퍼의 표면에 걸쳐서 균일하게 형성될수 있다. 본 발명의 방법은 면적이 단지 사진석판의 제한에 의해 제한되는 트렌치 격리영역을 형성할수 있다. 또, 본 발명으로, 큰 면적(폭)의 트렌치의 좁은 트렌치의 공정에 나쁜 영향을 미치지 않는 좁은 트렌치로 동시에 또한 형성될수 있고, 역도 동일하다.
본 발명에 따른 다음단계는 도 3b에 도시된 질화규소층(304), 패드산화층(302)을 통해 실리콘기판(300)에 트렌치(310)를 에칭하는 것이다. 트렌치(310)는 격리를 통한 펀치를 제공하기에 충분하게 깊게 에칭되지만, 바람직하게너무 깊어 가장자리의 갭을 보충하고 증가된 응력을 유발하지 않게 에칭된다. 추가로, 트렌치(310)의 측벽은 갭 또는 공간을 형성하지 않고 차후의 트렌치 보충이 용이하도록 바람직하게 약간 경사져 있다(약 80도). 또 본 발명의 바람직한 실시예에 따라서, 에칭후, 트렌치(310)의 코너(312)(트렌치/기판)는 대략 직각이다. 이러한 샤프한 코너는 예기치 않은 누설전류를 야기하는 높은 전기장을 유발하기 때문에 예각(<90°)으로 코너를 형성하지 않는 것이 중요하다. 임의의 공지된 에칭기술이 질화규소층(304)과 패드산화층(302)을 관통하여 에칭하는데 사용될수 있다. 에칭의 실리콘부분은 염소계 화학물을 가진 램 레인보우(RAM Rainbow) 400 에칭기를 이용하여 바람직하게 얻는다. 실리콘 에칭은 원하는 깊이에 트렌치를 형성하기 위해 시간을 맞춘다.
트렌치(310)가 바라는 깊이에 에칭된후, 포토레지스터층(306)은 플라즈마 애싱(ashing)과 같은 공지된 기술로 스트립(strip)된다.
본 발명에 따른 다음 단계는 트렌치(310)를 노출하여 제 1 트렌치 습식 에칭하는 것이다. 본발명의 바람직한 실시예에 따라서, 트렌치(310)는 플루오르화 수소산(HF)으로 구성된 습식 에칭제에 노출된다. 도 3c에 도시된 바와같이, HF로 구성된 습식에칭제에 트렌치(310)를 노출함으로써, 트렌치(310)에 둘러싸인 패드산화층(302)의 일부는 트렌치코너(312)에 인접한 실리콘기판(300)의 상부표면의 일부(314)를 노출시키기 위해 제거된다(실리콘기판(300)과 질화규소층(304)은 패드 산화층(302)만의 에칭을 고려한 HF에칭제에 실질적으로 면역되어 있다는 것을 알수 있다). 습식에칭의 사용은 에칭제가 실리콘 질산화층을 방향내게하고,실리콘기판(300)과 질화규소층 사이에 형성된 작은 갭내의 패드 산화층을 에칭하게 한다. 제 1 트렌치 습식 에칭의 목적은 패드산화층(302)의 일부를 제거하여 트렌치(310)의 코너(312)에 인접한 실리콘의 상부 표면을 노출시키는 것이며, 그 결과, 차후의 산화단계동안에 산화가 두 방향으로 일어나서, 누설전류(Ioff)를 감소시키는데 도움이 되는 트렌치(310)의 코너(312)의 라운딩을 야기하게 된다. 제 1트렌치 습식 에칭은 트렌치 코너의 차후 2차원 산화를 위해서 충분히 패드 산화물을 제거하여야 하지만, 너무 많이 패드 산화물을 제거하면 트렌치 면적이 실제로 증가된다. 트렌치(310)에 인접한 패드 산화물의 약 300Å(사실상)의 제거는 좋은 결과를 만든다. 본발명의 바람직한 방법은 탈이온화(DI) 물로 린스가 따르는 약 140초동안 50:1의 물:HF 로 구성된 습식 에칭제를 이용한다.
다음, 도 3d에 도시된 바와같이 트렌치(310)는 제 1 트렌치 산화물(316)을 형성하도록 첫 번째로 산화된다. 트렌치 산화물(316)은 기판(300)의 상부(314) 뿐만아니라 트렌치(310)의 하부상과 측벽상에 성장된다. 실리콘기판(300)의 표면부(314)가 노출되기 때문에 코너(312)의 산화는 두 방향에서 일어나며 코너(312)의 라운딩을 야기한다. 제 1트렌치 산화단계는 제 1트렌치 습식에칭단계동안 떨어져 에칭되는 패드산화물(302)의 일부를 재성장시킨다. 제 1 산화단계는 제어된 작은 "새부리 형상부"(317)을 가진 제 1트렌치 산화물(316)을 성장시킨다. 트렌치(310)의 코너를 라운딩하고 새부리 형상부(317)를 형성함으로써 인접한 트랜지스터의 누설전류(Ioff)는 상당히 감소된다. 추가로, 트렌치 에칭으로부터 남은임의의 댕글링(dangling) 결합은 제 1 산화단계동안 파괴된 결합에서 이산화 실리콘 결합으로 변형된다. 파괴된 결합에서 이산화 실리콘 결합으로의 변형은 트랜지스터 누설전류를 감소시키는데 도움이 된다고 생각된다. 본 발명의 바람직한 실시예에 따라서, 제 1트렌치 산화는 약 9% HCl 대 O2당량을 가진 산소/DCE 대기를 이용하여 약 920℃의 코쿠사이 버트론 III 수직확산로로 약200-400Å두께의 고품질 산화물을 성장시킨다.
본 발명에 따른 다음단계는 제 2트렌치 습식에칭액에 트렌치(310)를 노출시키는 것이다. 본발명의 바람직한 실시예에 따라서, 트렌치(310)는 플루오르화 수소산(HF)으로 구성된 제 2습식 에칭액에 노출된다. 도 3e에 도시된 바와같이 제 2트렌치 에칭액은 트렌치(310)를 둘러싼 실리콘기판(300)의 상부 표면뿐만아니라 트렌치(310)의 하부와 측면으로부터 제 1 트렌치 산화물(316)을 제거한다. 제 2 트랜치 습식 에칭액은 다시한번 트렌치 코너(312)를 노출시키기 위해 제 1 습식 에칭/산화 단계동안에 형성된 새부리 형상부를 제거한다. 또한, 제 2트렌치 습식 에칭은 제 1트렌치 습식 에칭동안에 제거되지 않은 약 200Å의 추가 패드산화물(302)을 제거한다(총 500Å이 측면으로부터 제거된다). 제 1 트렌치 습식 에칭과 같이, 제 2트렌치 습식 에칭의 목표는 차후의 산화막이 코너(312)의 추가 라운딩을 고려한 두면적에 발생하도록 코너(312)에 인접한 실리콘기판(300)의 일부를 노출시키는 것이다. 본 발명의 바람직한 실시예에서, 제 2 습식 에칭은 탈이온화수로 린스가 뒤따르는 약 280초동안 50:1의 H2O:HF 용액으로 실행된다.
본 발명의 바람직한 실시예에 따른 다음 단계는 제 2 트렌치 산화물(318)을 성장시키기 위해 두 번째로 트렌치(310)를 산화하는 것이다. 제 2 트렌치 산화단계는 약 400-500 옹스트롬 두께의 산화물을 트렌치 측벽과 실리콘기판(300)의 상부표면상에 성장시킨다. 트렌치(310)를 둘러싼 실리콘기판의 일부가 노출되어 있기 때문에, 제 2 산화단계는 추가로 코너(312)를 라운딩하고, 작은 "새부리 형상부"를 형성시킨다. 제 2 산화는 바람직하게 약 400-500Å의 산화물을 트렌치(310)의 코너(312) 위에 형성시킨다(제 2 산화는 트렌치(310)의 구조 때문에, 하부측벽(200-300Å)보다 트렌치 측벽(400-500Å)의 상부에 더 가볍게 산화막을 형성시킨다는 것을 알게된다). 제 2 산화는 트렌치 코너의 추가 라운딩을 위해 제공할 뿐만아니라, 실리콘기판(300)과 차후 증착되는 트렌치 보충층 사이에 세척층을 제공한다.
본 발명에 따른 다음 단계는 개별 트랜지스터를 전기적으로 격리할수 있는 보충물질로 트렌치(310)를 보충하는 것이다. 본 발명의 바람직한 방법에 따라서, 도 3g에 도시된 바와같이 트렌치(310)는 먼저 트렌치(310)와 질산화층(304)위에 블랭킷 증착된 약 1000Å의 산화층(322)으로 보충된다. 바람직한 방법에서 산화층(322)은 4에틸정규산염(TEOS)과 O2반응물질가스를 이용한 AMAT 5000 단일 웨이퍼 머신의 플라즈마가 화학증착(PECVD)에 의해 형성될수 있다. 산화층(322)의 형성에 앞서, 트렌치 산화물을 AMAT 패시베이션톨의 질소 플라즈마에 노출시키는 것이 바람직할수 있다. 이 선결 조건 단계는 트렌치 산화막의 표면을 산화질소로 변형시킴으로써 실리콘의 변위형성을 맏는데 도움이 된다고 생각된다.
다음, 도 3h에 도시된 바와같이, 증착층(322)은 아르곤 스퍼터 에칭으로 에칭된 원위치 스퍼터이다. 스퍼터 에칭시, 상부 산화층(322)의 약 400Å이 제거된다. 추가로, 트렌치의 측벽과 코너에서 떨어져 스퍼터된 산화물이 트렌치의 하부 코너에 재증착된다(324). 이 스퍼터 에칭은 트렌치의 측벽 각도를 향상시키고(감소시키고), 산화층(322)의 코너(323)를 또한 라운딩한다. 코너(323)의 라운딩과 측벽 각도의 감소 모두 트렌치 보충을 개선시킨다. 다음, 제 2 PECVD 산화층(326)은 스퍼터 에칭 산화층(324) 위에 증착되고 트렌치(310)의 보층을 완료한다. 제 2 산화층(326)은 기판(300)에 형성된 가장 넓은 트렌치를 하부에서 상부까지 보충하기에 충분한 최소 두께이여야 한다. 제 2 산화층(326)은 TEOS와 O2소스가스를 이용한 AMAT 5000 머신의 PECVD 공정에 의해 약 5000-7000Å의 두께로 바람직하게 형성된다. 이때에 기판(300)은 증착된 산화층(322, 326)을 조밀하게 하는 종래의 방법으로 가열 냉각될수 있다.
다른 공지된 물질과 방법론이 트렌치(310)를 보충하는데 사용될수 있다는 것을 알게된다. 그러나, 이러한 방법이 갭 또는 공간을 만들지 않고 작은 면적의 트렌치를 웨이퍼의 표면에 걸쳐서 균일하고 일정하게 보충할수 있기 때문에 증착-에칭-증착공정이 바람직하다.
다음, 도 3j에 도시된 바와같이, 증착된 산화층(326, 324)은 질화규소층(304)로부터 산화층(326, 324)을 제거하여 질화규소층(304)과 실제로 동일평면인 평면 포토그래피(327)로 트렌치 격리 구조를 형성하기 위해 화학적 기계적으로 연마된다. 질화규소층(304)은 바람직하게 산화층(324, 326)의 화학적 기계적 연마 동안에 연마방지막같이 사용된다. 그것은 질화규소보다 산화물에서 더 선택적인 연마 공정을 이용하는 본 발명의 바람직한 실시예이다. 산화물(324, 326)은 질화규소층(304)보다 더 빠른 비율로 연마하기 때문에 트렌치 격리구조의 상부 표면(327)은 과잉 연마으로 인하여 질화규소층(304)의 상부 표면아래로 전형적으로 약간 오목 패인다. 그러나 공지된 적절한 화학적 기계적 연마 공정은 제한되어 있지 않지만 수산화 칼륨과 실리카로 구성된 슬러리와 웨스텍 372M 연마기로 화학적 기계적 연마하는 본 발명에 사용될수 있다. 뛰어난 평면화 때문에 연마가 바람직하지만, 에칭백(back)과 같은 공지된 평면화 기술은 산화층(326, 324)을 제거하는데 이용될수 있다.
본 발명에 따른 다음 단계는 질화규소층(304)을 제거하는 것이다. 바람직하게, 패드 산화층(302)은 질화규소 제거단계를 위해 에칭방지막으로서 사용된다. 질화규소층(304)은 인산으로 에칭하는 공지된 기술을 사용하여 제거될수 있다. 질화물 에칭은 실리콘기판(300)의 능동영역으로부터 질화물을 제거한다. 패드산화물(302)의 작은 부분과 CVD 산화물(326, 322)은 질화물 제거단계에서 제거된다.
이때에, 웰을 형성하고, 또는 임계 전압을 조정하는 일등을 위해서 여러 주입(implant)와 도핑이 기판(300)에 행해질수 있다. 패드산화층(302)은 이 주입동안에 실리콘기판(300)을 보호하는데 사용될수 있다.
다음, 본 발명의 바람직한 실시예에 따라서, 패드산화층(302)은실리콘기판(300)의 능동영역위의 클린(clean) 베어(bare) 실리콘 표면을 노출시키기 위해 실리콘기판(300)으로부터 스트립된다. 패드산화층(302)은 표준클린이 따르는 50:1의 HF 증착으로 바람직하게 에칭된다. HF증착은 트렌치 코너(312)위에 형성된 500Å의 트렌치 산화물의 최소량(약 150Å)만이 아니라. 실리콘기판(300)으로부터 열적 패드 산화물(약 100Å)의 모두를 에칭한다. 트렌치 산화동안에 형성된 트렌치 산화물 새부리 형상부의 약 300Å 부분을 남기기 위해서 패드 산화물 제거시 너무 에칭하지 않도록 주의해야 한다는 것을 강조한다.
패드산화층(302)의 제거후, 실리콘기판(300)은 클린되고, 약 50-75Å두께인 클린 고품질 게이트 산화층의 형성을 위해 준비된다. 게이트 산화층(328)은 본 양수인에게 양수된 미국특허 5,244,843에 설명된 공지된 기술 또는 츄등 다수인에 의해 복수산화와 가열냉각 단계를 사용하여 형성된 제조가능한 절연체로 명칭된 계류중인 출원에 설명된 다른 기술에 의해 형성될수 있다. 게이트산화층(328)의 성장동안, 노출된 트렌치 산화층(318)의 두께는 또한 동일량을 증가시킨다. 그 결과는 더 두꺼운(약 3-4배 두께) 트렌치 산화층이 게이트 산화층에 인접한 상태로의 얇은 게이트 산화층이 형성된다.
이때에, 폴리게이트 형성과 소스/드레인 도핑과 같은 여러 공지된 반도체 공정은 인접 트랜지스터의 제조를 완성하는데 사용된다. 다음, 공지된 상호접속 기술은 격리 트랜지스터를 마이크로프로세서 또는 메모리 소자와 같은 기능 집적회로에 결합시키는데 사용된다.
본 발명의 방법은 소스/드레인 누설전류가 실제로 감소되는 고밀도 트렌치격리구조를 형성시킨다. 그 누설 전류는 트렌치 코너(312)를 라운딩하고 제어가능한 작은 새부리 형상부를 형성하는 연속적인 "에칭" 및 "산화" 단계를 이용하여 감소되었다. 트렌치 코너(312)를 라운딩하면 샤프한 코너와 보통 연관된 높은 전기장을 감소시킨다. 코너(312)에서의 전기장의 감소는 인접 소자의 누설 전류를 감소시킨다. 추가로, 코너(312)위에 작은 새부리 형상부를 제어가능하게 형성함으로써, 당연하게 코너(312)위에 더 두꺼운 게이트 산화층이 생긴다. 코너(312)위의 게이트 산화층의 두께를 증가시킴으로써, 이 영역(트렌치 코너)내의 게이트 산화층에 걸쳐있는 전기장은 감소되어 인접소자의 누설전류를 더 감소시킨다. 본 발명의 바람직한 실시예는 트렌치코너(312)를 라운딩하고 새부리 형상부를 제어가능하게 형성하기 위해 다단계 습식 에칭 산화-습식에칭-산화기술을 이용하지만, 트랜지스터 누설 전류를 감소시키기 위해 새부리 형상부 형성과 라운딩된 일부 코너를 얻는 바람직한 공정 흐름의 일부를 이용할수 있다고 예상된다. 예를들어, 제 1트렌치 습식 에칭을 제거할수 있고 단지 제 1트렌치 산화만을 이용할수 있고, 제 1습식 에칭은 코너(312)를 라운딩하고 작은 새부리 형상부를 성장시키기 위해 제 1트렌치 산화가 뒤따른다. 이러한 기술은 출원인의 전체 공정으로 얻게되는 잇점중 일부를 얻고 그것에 의해 어느정도 누설전류를 감소시킬수 있을 것으로 예상된다. 추가로, 라운딩된 코너 일부와 새부리 형상부의 성장을 얻고 어느정도 누설전류를 감소시키기 위해 단일 트렌치 산화단계가 뒤따르는 제 1트렌치 습식에칭만을 이용할수 있다. 추가로, 추가 라운딩과 트렌치 코너(312)의 조절을 얻기위해 상기된 단계보다 더 추가 에칭산화 단계를 추가할 수 있다는 것이 예상된다. 그러나, 이러한 공정은 추가 비용과 낮은 처리량을 야기할수 있다. 출원인은 본 발명의 바람직한 실시예의 새로운 습식 에칭-산화-습식에칭-산화 공정은 트렌치 코너(312)의 가장 경제적으로 제조가능한 프로파일을 제공하고 제조단가를 위해 누설전류를 감소시킨다.
상기 설명에서, 본 발명은 특정 실시예를 기준으로 설명되었다. 그러나, 본 발명의 사상과 범위를 벗어나지 않는 범위에서 여러수정과 변경이 가능하다는 것은 분명하다. 예를들어, 본발명은 여기서 특정하게 설명된 치수를 제한한다고 기대되지 않는다. 오히려, 더 작은 트렌치 격리영역을 얻기 위해 여기서 설명된 특정 치수를 간략하게 줄일수 있다고 기대된다. 따라서 본 명세서와 도면은 제한되기 보다는 예시적이다.

Claims (18)

  1. 반도체 기판에 격리영역을 형성하는 방법에 있어서:
    상기 반도체 기판에 개구를 형성하는 단계;
    상기 개구를 습식 에칭제로 에칭하는 단계;
    상기 개구를 상기 습식 에칭제로 에칭한 후에 상기 개구를 첫 번째로 산화하는 단계;
    상기 산화된 개구를 에칭하는 단계; 및
    상기 개구를 두 번째로 산화하는 단계를 포함하고 있는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 개구를 두 번째로 산화하는 단계 후에 산화층을 상기 산화된 트렌치에 증착하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서, 상기 산화층을 증착하는 상기 단계는:
    제 1 산화층을 상기 산화된 트렌치에 증착하는 단계;
    상기 제 1의 증착된 산화층을 스퍼터 에칭하는 단계; 및
    상기 스퍼터 에칭한 제 1 산화층위에 제 2 산화층을 증착하는 단계를 포함하고 있는 것을 특징으로 하는 방법.
  4. 제 2 항에 있어서, 평면인 표면을 가진 격리영역을 형성하기 위해 상기 증착된 산화층을 연마하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 상기 습식에칭제는 HF로 구성되어 있는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 제 1의 산화단계는 약 150 옹스트롬 두께의 산화물을 형성하는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, 제 2의 산화단계는 약 250 내지 500 옹스트롬 두께의 최종 산화물을 만드는 것을 특징으로 하는 방법.
  8. 얕은 트렌치 격리구조를 실리콘기판에 형성하는 방법에 있어서:
    패드 산화층을 실리콘기판위에 그리고 질화규소층을 상기 패드산화층위에 형성하는 단계;
    상기 질화규소층, 상기 패드산화층, 및 상기 실리콘기판에 있고, 상기 실리콘 기판에서 측벽을 가진 트렌치를 에칭하는 단계;
    상기 트렌치를 산화하기 전에, 상기 질화규소층 아래로부터 상기 패드산화물의 일부를 분리에칭하여 상기 실리콘기판의 상단부 표면의 일부를 노출시키기 위해 HF로 구성된 습식 에칭제로 상기 트렌치를 노출시키는 단계;
    산화층을 상기 트렌치 측벽과 상기 실리콘기판의 상기 노출된 상단부위에 성장시키기 위해 첫 번째로 상기 트렌치를 산화하는 단계;
    상기 트렌치를 첫 번째로 산화한 후에, 상기 성장된 산화층을 HF로 구성된 습식 에칭제로 에칭하는 단계; 및
    상기 트렌치를 두 번째로 산화하는 단계를 포함하고 있는 것을 특징으로 하는 방법.
  9. 제 8 항에 있어서, 상기 트렌치를 채우기 위해 상기 트렌치에 트렌치 보충 물질을 증착하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  10. 제 9 항에 있어서, 상기 트렌치 보충 물질을 증착하는 상기 단계는:
    제 1 산화층을 상기 질화규소층위와 상기 산화된 트렌치에 증착하는 단계;
    상기 제 1의 증착된 산화층을 스퍼터 에칭하는 단계; 및
    제 2 산화층을 상기 트렌치의 상기 스퍼터 에칭한 제 1 산화층위와 상기 질화규소층위에 증착하는 단계를 포함하고 있는 것을 특징으로 하는 방법.
  11. 제 9 항에 있어서, 평면화된 표면을 가진 얕은 트렌치 격리구조를 형성하기 위해 상기 트렌치 보충물질을 연마하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  12. 트렌치 격리영역을 실리콘 기판에 형성하는 방법에 있어서:
    트렌치를 상기 실리콘 기판에 형성하는 단계;
    제 1 산화층을 상기 트렌치에 증착하는 단계;
    상기 제 1 산화층을 스퍼터 에칭하여, 상기 스퍼터 에칭된 제 1 산화층이 상기 트렌치에서 재증착되는 단계; 및
    상기 스퍼터 에칭된 제 1 산화층위의 상기 트렌치에 제 2 산화층을 증착하는 단계를 포함하고 있는 것을 특징으로 하는 방법.
  13. 제 12 항에 있어서,
    상기 트렌치를 형성한 후와 상기 제 1 산화층을 증착하기 전에 상기 트렌치를 첫 번째로 산화하는 단계;
    상기 산화된 트렌치를 HF로 구성된 습식에칭제로 에칭하는 단계; 및
    상기 트렌치를 두 번째로 산화하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  14. 제 12 항에 있어서,
    상기 트렌치를 형성하기 전에 패드 산화층을 상기 실리콘 기판위에 형성하는 단계;
    질화규소층을 상기 패드 산화층위에 형성하는 단계; 및
    상기 트렌치를 형성한 후와 상기 제 1 산화층을 증착하기 전에, 상기 패드산화물의 일부를 상기 질화규소층 아래에 분리 에칭하여 상기 실리콘 기판의 상단표면의 일부를 노출시키기 위해 HF로 구성된 습식 에칭제로 상기 트렌치를 첫 번째로 습식 에칭하는 단계; 및
    산화층을 상기 트렌치와 상기 실리콘 기판의 상기 노출된 상단부위에 성장시키기 위해 상기 트렌치를 첫 번째로 산화하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  15. 제 14 항에 있어서,
    상기 트렌치를 첫 번째로 산화한 후와 상기 제 1 산화층을 증착하기 전에, 상기 산화된 트렌치를 HF로 구성된 습식 에칭제로 에칭하는 단계; 및
    상기 트렌치를 두 번째로 산화하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, 상기 질화규소층과 실제로 평면인 트렌치 격리구조를 형성하기 위해, 상기 제 2 산화층과 상기 스퍼터 에칭한 제 1 산화층을 상기 질화규소층으로부터 연마하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서, 상기 제 1 및 제 2의 증착된 산화층을 연마한 후에, 상기 제 2 산화 단계동안에 형성된 새부리 형상부를 남기기위해, 상기 질화규소층과 상기 패드 산화층을 제거하는 단계를 더 포함하고 있는 것을 특징으로 하는 방법.
  18. 얕은 트렌치 격리구조를 실리콘 기판에 형성하는 방법에 있어서:
    패드산화층을 상기 실리콘기판위에 그리고 질화규소층을 상기 패드산화층위에 형성하는 단계;
    상기 질화규소층, 상기 패드산화층 및 상기 실리콘기판에 있고, 상기 실리콘기판에서 경사진 측벽을 가진 트렌치를 에칭하는 단계;
    상기 패드 산화물의 일부를 상기 질화규소층 아래에서 분리 에칭하여 상기 실리콘 기판의 상단표면의 일부를 노출시키기 위해 HF로 구성된 제 1의 습식에칭제로 상기 트렌치를 노출시키는 단계;
    제 1 산화층을 상기 트렌치 측벽과 상기 실리콘 기판의 상기 노출된 상단부위에 성장시키기 위해, 상기 트렌치를 첫 번째로 산화하는 단계;
    상기 제 1의 성장된 산화층을 상기 트렌치의 상기 측벽과 상기 실리콘기판의 상기 상단부로부터 제거하기 위해, HF로 구성된 습식 에칭제로 상기 제 1의 성장된 산화층을 에칭하는 단계; 및
    제 2 산화층을 상기 트렌치 측벽위와 상기 실리콘기판의 상기 상단부위에 성장시키기 위해 상기 트렌치를 두 번째로 산화하는 단계;
    또다른 제 1 산화층을 상기 질화규소층위와 상기 산화된 트렌치에 증착하는 단계;
    상기 제 1의 증착된 산화층을 스퍼터 에칭하는 단계;
    또다른 제 2 산화층을 상기 트렌치 내 및 상기 질화규소층상의 상기 스퍼터에칭한 제 1 산화층위에 증착하는 단계; 및
    상기 질화규소층에 대해 실질적으로 평면인 트렌치 격리구조를 형성하기 위해, 상기 또다른 제 2 산화층과 상기 스퍼터 에칭한 제 1 산화층을 상기 질화규소층으로부터 연마하는 단계를 포함하고 있는 것을 특징으로 하는 방법.
KR1019980702311A 1995-09-29 1996-09-23 얕은트렌치격리신기술 KR100316221B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/536,694 US5719085A (en) 1995-09-29 1995-09-29 Shallow trench isolation technique
US8/536694 1995-09-29
US08/536694 1995-09-29
PCT/US1996/015281 WO1997014175A2 (en) 1995-09-29 1996-09-23 Novel shallow trench isolation technique

Publications (2)

Publication Number Publication Date
KR19990063841A KR19990063841A (ko) 1999-07-26
KR100316221B1 true KR100316221B1 (ko) 2002-03-13

Family

ID=24139539

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980702311A KR100316221B1 (ko) 1995-09-29 1996-09-23 얕은트렌치격리신기술

Country Status (10)

Country Link
US (1) US5719085A (ko)
EP (1) EP0856197B1 (ko)
JP (1) JP4553410B2 (ko)
KR (1) KR100316221B1 (ko)
AU (1) AU7370296A (ko)
DE (1) DE69638085D1 (ko)
HK (1) HK1015541A1 (ko)
IL (1) IL123750A (ko)
TW (1) TW353215B (ko)
WO (1) WO1997014175A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100821484B1 (ko) * 2002-10-02 2008-04-10 동부일렉트로닉스 주식회사 반도체 소자 제조 방법

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5904543A (en) * 1996-03-28 1999-05-18 Advanced Micro Devices, Inc Method for formation of offset trench isolation by the use of disposable spacer and trench oxidation
US5933746A (en) * 1996-04-23 1999-08-03 Harris Corporation Process of forming trench isolation device
TW388096B (en) * 1996-06-10 2000-04-21 Texas Instruments Inc Integrated circuit insulator and method
US5725739A (en) 1996-07-08 1998-03-10 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
US6114741A (en) * 1996-12-13 2000-09-05 Texas Instruments Incorporated Trench isolation of a CMOS structure
US5780346A (en) * 1996-12-31 1998-07-14 Intel Corporation N2 O nitrided-oxide trench sidewalls and method of making isolation structure
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5811346A (en) * 1997-04-14 1998-09-22 Vlsi Technology, Inc. Silicon corner rounding in shallow trench isolation process
US5863827A (en) * 1997-06-03 1999-01-26 Texas Instruments Incorporated Oxide deglaze before sidewall oxidation of mesa or trench
US6080677A (en) * 1997-06-17 2000-06-27 Vlsi Technology, Inc. Method for preventing micromasking in shallow trench isolation process etching
US6399462B1 (en) * 1997-06-30 2002-06-04 Cypress Semiconductor Corporation Method and structure for isolating integrated circuit components and/or semiconductor active devices
US6455394B1 (en) 1998-03-13 2002-09-24 Micron Technology, Inc. Method for trench isolation by selective deposition of low temperature oxide films
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US5801083A (en) * 1997-10-20 1998-09-01 Chartered Semiconductor Manufacturing, Ltd. Use of polymer spacers for the fabrication of shallow trench isolation regions with rounded top corners
US6194283B1 (en) * 1997-10-29 2001-02-27 Advanced Micro Devices, Inc. High density trench fill due to new spacer fill method including isotropically etching silicon nitride spacers
US6143625A (en) * 1997-11-19 2000-11-07 Texas Instruments Incorporated Protective liner for isolation trench side walls and method
US6306725B1 (en) 1997-11-19 2001-10-23 Texas Instruments Incorporated In-situ liner for isolation trench side walls and method
US6140251A (en) * 1997-12-10 2000-10-31 Intel Corporation Method of processing a substrate
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6285054B1 (en) * 1998-03-30 2001-09-04 Advanced Micro Devices, Inc. Trenched gate non-volatile semiconductor device with the source/drain regions spaced from the trench by sidewall dopings
KR100515037B1 (ko) * 1998-06-03 2005-11-30 삼성전자주식회사 트렌치 격리 제조 방법
KR100327656B1 (ko) * 1998-06-29 2002-07-02 박종섭 반도체소자의소자분리막제조방법
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6265282B1 (en) * 1998-08-17 2001-07-24 Micron Technology, Inc. Process for making an isolation structure
KR100287181B1 (ko) * 1998-09-21 2001-04-16 윤종용 트렌치소자분리영역을갖는반도체소자및그제조방법
KR100475048B1 (ko) * 1998-09-24 2005-05-27 삼성전자주식회사 이중층의 질화물라이너를 갖는 트렌치 소자분리방법
KR100292616B1 (ko) * 1998-10-09 2001-07-12 윤종용 트렌치격리의제조방법
TW410423B (en) * 1998-10-21 2000-11-01 United Microelectronics Corp Manufacture method of shallow trench isolation
TW396521B (en) * 1998-11-06 2000-07-01 United Microelectronics Corp Process for shallow trench isolation
DE69841104D1 (de) * 1998-12-10 2009-10-08 St Microelectronics Srl Herstellungsverfahren für eine SOI-Scheibe
TW400605B (en) * 1999-01-16 2000-08-01 United Microelectronics Corp The manufacturing method of the Shallow Trench Isolation (STI)
US6027982A (en) * 1999-02-05 2000-02-22 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures with improved isolation fill and surface planarity
US6140208A (en) * 1999-02-05 2000-10-31 International Business Machines Corporation Shallow trench isolation (STI) with bilayer of oxide-nitride for VLSI applications
TW530372B (en) 1999-03-09 2003-05-01 Mosel Vitelic Inc Shallow trench isolation process
US6316815B1 (en) * 1999-03-26 2001-11-13 Vanguard International Semiconductor Corporation Structure for isolating integrated circuits in semiconductor substrate and method for making it
TW406353B (en) * 1999-04-08 2000-09-21 Mosel Vitelic Inc Method of forming a concave oxidized structure at the trench bottom
US6180489B1 (en) * 1999-04-12 2001-01-30 Vanguard International Semiconductor Corporation Formation of finely controlled shallow trench isolation for ULSI process
US6270353B1 (en) 1999-06-07 2001-08-07 International Business Machines Corporation Low cost shallow trench isolation using non-conformal dielectric material
GB9915589D0 (en) * 1999-07-02 1999-09-01 Smithkline Beecham Plc Novel compounds
US6265283B1 (en) * 1999-08-12 2001-07-24 Advanced Micro Devices, Inc. Self-aligning silicon oxynitride stack for improved isolation structure
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6228727B1 (en) * 1999-09-27 2001-05-08 Chartered Semiconductor Manufacturing, Ltd. Method to form shallow trench isolations with rounded corners and reduced trench oxide recess
KR100338767B1 (ko) 1999-10-12 2002-05-30 윤종용 트렌치 소자분리 구조와 이를 갖는 반도체 소자 및 트렌치 소자분리 방법
JP2001144170A (ja) * 1999-11-11 2001-05-25 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100335495B1 (ko) * 1999-11-12 2002-05-08 윤종용 디봇 발생을 방지하며 공정이 간단한 소자분리막의 제조방법
US6150234A (en) * 1999-12-16 2000-11-21 Vlsi Technology, Inc. Trench-diffusion corner rounding in a shallow-trench (STI) process
US6683345B1 (en) 1999-12-20 2004-01-27 International Business Machines, Corp. Semiconductor device and method for making the device having an electrically modulated conduction channel
KR100358130B1 (ko) * 1999-12-24 2002-10-25 주식회사 하이닉스반도체 트렌치 저면의 스트레스 집중 현상을 완화시킬 수 있는 트렌치형 소자분리막 형성방법
US20070114631A1 (en) * 2000-01-20 2007-05-24 Hidenori Sato Method of manufacturing a semiconductor integrated circuit device and a semiconductor integrated circuit device
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6326283B1 (en) * 2000-03-07 2001-12-04 Vlsi Technology, Inc. Trench-diffusion corner rounding in a shallow-trench (STI) process
US6541382B1 (en) * 2000-04-17 2003-04-01 Taiwan Semiconductor Manufacturing Company Lining and corner rounding method for shallow trench isolation
US6303413B1 (en) * 2000-05-03 2001-10-16 Maxim Integrated Products, Inc. Method of forming a shallow and deep trench isolation (SDTI) suitable for silicon on insulator (SOI) substrates
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6437417B1 (en) * 2000-08-16 2002-08-20 Micron Technology, Inc. Method for making shallow trenches for isolation
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP4847671B2 (ja) * 2000-10-19 2011-12-28 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 誘導結合プラズマを用いて基板をエッチングする装置および方法
JP2002170877A (ja) * 2000-12-01 2002-06-14 Sharp Corp 半導体装置の製造方法
US6897120B2 (en) * 2001-01-03 2005-05-24 Micron Technology, Inc. Method of forming integrated circuitry and method of forming shallow trench isolation in a semiconductor substrate
US6541349B2 (en) 2001-01-18 2003-04-01 International Business Machines Corporation Shallow trench isolation using non-conformal dielectric and planarizatrion
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6518641B2 (en) * 2001-05-18 2003-02-11 International Business Machines Corporation Deep slit isolation with controlled void
US6890859B1 (en) 2001-08-10 2005-05-10 Cypress Semiconductor Corporation Methods of forming semiconductor structures having reduced defects, and articles and devices formed thereby
JP3656103B2 (ja) * 2001-09-19 2005-06-08 国立大学法人富山大学 液晶表示素子
JP3577024B2 (ja) * 2001-10-09 2004-10-13 エルピーダメモリ株式会社 半導体装置及びその製造方法
US6548399B1 (en) 2001-11-20 2003-04-15 Intel Corporation Method of forming a semiconductor device using a carbon doped oxide layer to control the chemical mechanical polishing of a dielectric layer
KR100426484B1 (ko) * 2001-12-22 2004-04-14 주식회사 하이닉스반도체 플래쉬 메모리 셀 및 그의 제조방법
US7439141B2 (en) * 2001-12-27 2008-10-21 Spansion, Llc Shallow trench isolation approach for improved STI corner rounding
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6524930B1 (en) 2002-04-25 2003-02-25 Texas Instruments Incorporated Method for forming a bottom corner rounded STI
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US20040016962A1 (en) * 2002-04-30 2004-01-29 Hideki Okumura Semiconductor device
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
DE10234165B4 (de) * 2002-07-26 2008-01-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Füllen eines Grabens, der in einem Substrat gebildet ist, mit einem isolierenden Material
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JPWO2004023549A1 (ja) * 2002-08-30 2006-01-05 Spansion Japan株式会社 半導体装置及びその製造方法
US6902867B2 (en) * 2002-10-02 2005-06-07 Lexmark International, Inc. Ink jet printheads and methods therefor
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7648886B2 (en) * 2003-01-14 2010-01-19 Globalfoundries Inc. Shallow trench isolation process
US6962857B1 (en) 2003-02-05 2005-11-08 Advanced Micro Devices, Inc. Shallow trench isolation process using oxide deposition and anneal
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US7238588B2 (en) * 2003-01-14 2007-07-03 Advanced Micro Devices, Inc. Silicon buffered shallow trench isolation
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
JP4694769B2 (ja) * 2003-01-27 2011-06-08 エルピーダメモリ株式会社 半導体装置の製造方法
JP4544876B2 (ja) * 2003-02-25 2010-09-15 三洋電機株式会社 半導体装置の製造方法
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US6921709B1 (en) 2003-07-15 2005-07-26 Advanced Micro Devices, Inc. Front side seal to prevent germanium outgassing
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7055912B2 (en) * 2003-09-23 2006-06-06 Terry Lynn Luscombe Vehicle mounted utility apparatus with quick attachment means
US20050095808A1 (en) * 2003-11-04 2005-05-05 Industrial Technology Research Institute Thermal oxidation method for topographic feature corner rounding
JP2005142319A (ja) * 2003-11-06 2005-06-02 Renesas Technology Corp 半導体装置の製造方法
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7045436B2 (en) 2004-07-27 2006-05-16 Texas Instruments Incorporated Method to engineer the inverse narrow width effect (INWE) in CMOS technology using shallow trench isolation (STI)
US7279397B2 (en) * 2004-07-27 2007-10-09 Texas Instruments Incorporated Shallow trench isolation method
US7045410B2 (en) * 2004-07-27 2006-05-16 Texas Instruments Incorporated Method to design for or modulate the CMOS transistor threshold voltage using shallow trench isolation (STI)
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7176138B2 (en) * 2004-10-21 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective nitride liner formation for shallow trench isolation
KR100610017B1 (ko) * 2004-11-26 2006-08-08 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
TW200625437A (en) * 2004-12-30 2006-07-16 Macronix Int Co Ltd Shallow trench isolation process of forming smooth edge angle by cleaning procedure
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US8012847B2 (en) * 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US7199020B2 (en) * 2005-04-11 2007-04-03 Texas Instruments Incorporated Nitridation of STI liner oxide for modulating inverse width effects in semiconductor devices
US7915173B2 (en) * 2005-05-05 2011-03-29 Macronix International Co., Ltd. Shallow trench isolation structure having reduced dislocation density
KR100695868B1 (ko) * 2005-06-23 2007-03-19 삼성전자주식회사 소자 분리막과 그 제조 방법, 이를 갖는 반도체 장치 및 그제조 방법
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
JP2007035823A (ja) * 2005-07-26 2007-02-08 Elpida Memory Inc トレンチ形成方法、半導体装置の製造方法および半導体装置
US8802537B1 (en) * 2005-07-27 2014-08-12 Spansion Llc System and method for improving reliability in a semiconductor device
US7811935B2 (en) * 2006-03-07 2010-10-12 Micron Technology, Inc. Isolation regions and their formation
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7682977B2 (en) * 2006-05-11 2010-03-23 Micron Technology, Inc. Methods of forming trench isolation and methods of forming arrays of FLASH memory cells
US7358145B2 (en) * 2006-06-15 2008-04-15 Macronix International Co., Ltd. Method of fabricating shallow trench isolation structure
US8012846B2 (en) * 2006-08-04 2011-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures and methods of fabricating isolation structures
KR100790296B1 (ko) * 2006-12-04 2008-01-02 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
KR100818711B1 (ko) * 2006-12-07 2008-04-01 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR100845102B1 (ko) * 2006-12-20 2008-07-09 동부일렉트로닉스 주식회사 반도체 소자의 소자분리막 형성방법
US8736016B2 (en) 2007-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained isolation regions
KR100856315B1 (ko) * 2007-06-22 2008-09-03 주식회사 동부하이텍 반도체 소자의 제조 방법
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
CN101546730B (zh) * 2008-03-25 2010-10-20 中芯国际集成电路制造(上海)有限公司 一种减小hdp对有源区损伤的方法
US8105956B2 (en) * 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
US8963241B1 (en) 2009-11-13 2015-02-24 Maxim Integrated Products, Inc. Integrated MOS power transistor with poly field plate extension for depletion assist
US8969958B1 (en) 2009-11-13 2015-03-03 Maxim Integrated Products, Inc. Integrated MOS power transistor with body extension region for poly field plate depletion assist
US20110115019A1 (en) * 2009-11-13 2011-05-19 Maxim Integrated Products, Inc. Cmos compatible low gate charge lateral mosfet
US8987818B1 (en) 2009-11-13 2015-03-24 Maxim Integrated Products, Inc. Integrated MOS power transistor with thin gate oxide and low gate charge
US20110115018A1 (en) * 2009-11-13 2011-05-19 Maxim Integrated Products, Inc. Mos power transistor
US8946851B1 (en) 2009-11-13 2015-02-03 Maxim Integrated Products, Inc. Integrated MOS power transistor with thin gate oxide and low gate charge
US8304863B2 (en) 2010-02-09 2012-11-06 International Business Machines Corporation Electromigration immune through-substrate vias
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8835994B2 (en) 2010-06-01 2014-09-16 International Business Machines Corporation Reduced corner leakage in SOI structure and method
US10672748B1 (en) 2010-06-02 2020-06-02 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional heterogeneous technologies integration
US8349653B2 (en) 2010-06-02 2013-01-08 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional metal interconnect technologies
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8883021B2 (en) * 2012-03-30 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS nanostructures and methods of forming the same
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105712291B (zh) * 2014-12-04 2018-07-06 北京北方华创微电子装备有限公司 斜槽刻蚀方法
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11562923B2 (en) * 2020-05-05 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement including a first electrical insulator layer and a second electrical insulator layer and method of making

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4073054A (en) * 1975-08-25 1978-02-14 Hitachi, Ltd. Method of fabricating semiconductor device
JPS55117243A (en) * 1979-03-02 1980-09-09 Chiyou Lsi Gijutsu Kenkyu Kumiai Fabrication of semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5848936A (ja) * 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
JPH073858B2 (ja) * 1984-04-11 1995-01-18 株式会社日立製作所 半導体装置の製造方法
US4580330A (en) * 1984-06-15 1986-04-08 Texas Instruments Incorporated Integrated circuit isolation
JPS63234534A (ja) * 1987-03-24 1988-09-29 Oki Electric Ind Co Ltd 半導体素子の製造方法
US5258332A (en) * 1987-08-28 1993-11-02 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices including rounding of corner portions by etching
JP2955459B2 (ja) * 1993-12-20 1999-10-04 株式会社東芝 半導体装置の製造方法
JP3396553B2 (ja) * 1994-02-04 2003-04-14 三菱電機株式会社 半導体装置の製造方法及び半導体装置
US5453395A (en) * 1994-03-21 1995-09-26 United Microelectronics Corp. Isolation technology using liquid phase deposition
US5455194A (en) * 1995-03-06 1995-10-03 Motorola Inc. Encapsulation method for localized oxidation of silicon with trench isolation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4073054A (en) * 1975-08-25 1978-02-14 Hitachi, Ltd. Method of fabricating semiconductor device
JPS55117243A (en) * 1979-03-02 1980-09-09 Chiyou Lsi Gijutsu Kenkyu Kumiai Fabrication of semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
''A Poly-Buffer Recessed LOCOS Process for 256Mbit DRAM Cells'',IEDM, 4/92, 10.6.1-10.6.4 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100821484B1 (ko) * 2002-10-02 2008-04-10 동부일렉트로닉스 주식회사 반도체 소자 제조 방법

Also Published As

Publication number Publication date
AU7370296A (en) 1997-04-30
EP0856197B1 (en) 2009-11-18
WO1997014175A2 (en) 1997-04-17
TW353215B (en) 1999-02-21
EP0856197A4 (en) 2000-08-09
HK1015541A1 (en) 1999-10-15
US5719085A (en) 1998-02-17
DE69638085D1 (de) 2009-12-31
JPH11513538A (ja) 1999-11-16
KR19990063841A (ko) 1999-07-26
EP0856197A2 (en) 1998-08-05
IL123750A (en) 2002-08-14
JP4553410B2 (ja) 2010-09-29
IL123750A0 (en) 1998-10-30

Similar Documents

Publication Publication Date Title
KR100316221B1 (ko) 얕은트렌치격리신기술
US6437417B1 (en) Method for making shallow trenches for isolation
JP4001866B2 (ja) 浅溝分離(sti)プロセス後のディボット形成を制限する方法
US7902628B2 (en) Semiconductor device with trench isolation structure
KR19980063291A (ko) 트랜치 소자분리방법
US6331472B1 (en) Method for forming shallow trench isolation
US20020048897A1 (en) Method of forming a self-aligned shallow trench isolation
US6602792B2 (en) Method for reducing stress of sidewall oxide layer of shallow trench isolation
EP1000439B1 (en) Method of forming side dielectrically isolated semiconductor devices
KR0157875B1 (ko) 반도체 장치의 제조방법
US6987064B2 (en) Method and composition to improve a nitride/oxide wet etching selectivity
US20020072196A1 (en) Shallow trench isolation for thin silicon/silicon-on-insulator substrates by utilizing polysilicon
US6344374B1 (en) Method of fabricating insulators for isolating electronic devices
KR100475025B1 (ko) 반도체소자의 소자분리절연막 형성방법
US5956589A (en) Method of forming narrow thermal silicon dioxide side isolation regions in a semiconductor substrate and MOS semiconductor devices fabricated by this method
KR100419754B1 (ko) 반도체소자의 소자분리막 형성방법
US7981800B1 (en) Shallow trench isolation structures and methods for forming the same
KR100954418B1 (ko) 반도체 소자의 소자분리막 형성방법
KR100511917B1 (ko) 반도체 소자의 소자분리막 형성방법
KR100419873B1 (ko) 반도체소자의 격리방법
KR20030052663A (ko) 반도체소자의 분리 방법
KR100632053B1 (ko) 반도체 장치의 소자 분리막의 제조 방법
KR100752219B1 (ko) 반도체 소자의 격리막 제조방법
KR20030056388A (ko) 반도체 소자의 소자분리막 형성방법
IL137358A (en) Shallow trench isolation technique for semiconductor substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121019

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20131101

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20141031

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee