JP4001866B2 - 浅溝分離(sti)プロセス後のディボット形成を制限する方法 - Google Patents

浅溝分離(sti)プロセス後のディボット形成を制限する方法 Download PDF

Info

Publication number
JP4001866B2
JP4001866B2 JP2003546380A JP2003546380A JP4001866B2 JP 4001866 B2 JP4001866 B2 JP 4001866B2 JP 2003546380 A JP2003546380 A JP 2003546380A JP 2003546380 A JP2003546380 A JP 2003546380A JP 4001866 B2 JP4001866 B2 JP 4001866B2
Authority
JP
Japan
Prior art keywords
oxide
oxide layer
layer
sti
thermal oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003546380A
Other languages
English (en)
Other versions
JP2005510080A (ja
Inventor
バートルー、ピーター、エイチ
キャンテル、マーク、タブリュー
ラスキー、ジェローム、ビー
ワイル、ジェームズ、ディー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005510080A publication Critical patent/JP2005510080A/ja
Application granted granted Critical
Publication of JP4001866B2 publication Critical patent/JP4001866B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は半導体デバイスの製造に関する。より具体的には、半導体デバイスで使用される浅溝分離(STI)構造のディボット(divot)の形成を制限する方法に関する。
より小型、高速、高密度な集積デバイスに向う半導体デバイス作製の傾向は、STIの開発をもたらし、さらに、ゲート誘電体として、より薄い窒素注入ゲート誘電体に向かっている。STI技術では、トランジスタのような半導体デバイスを囲繞するトレンチ(溝)が、半導体基板にエッチングされ、それから誘電体材料で充填される。窒素注入ゲート誘電体技術では、ゲートの誘電率を高めるために窒素原子がゲート酸化物中に導入される。この注入の副作用は、STI誘電体のエッチング速度を高めることであった。STI誘電体のエッチング速度の増加は、STIディボットを引き起こす傾向とディボットの大きさの増大をもたらした。
図1は、STIディボットを示す半導体トランジスタの上面図である。図1において、半導体デバイス100(この例では、相補型金属酸化物シリコン(CMOS)トランジスタ)は、STI誘電体105で囲繞されている。半導体デバイス100は、シリコン中に形成されかつチャネル領域115で隔離されたソース/ドレイン領域110を含む。ゲート120(一般に、ゲート誘電体上のポリシリコン)は、チャネル領域115の上に形成され、さらにソース/ドレイン領域110上に重なっている。半導体デバイス100に隣り合うSTI105に、ディボット125が形成される。
図2は、図1の2−2を通した側面図である。図2は、シリコン・オン・インシュレータ(SOI)技術で製造された図1のデバイスを示す。SOI技術では、酸化物層がシリコン基板上に形成され、さらにシリコン層がこの酸化物層上に形成される。図2では、埋込み酸化物(BOX)層135の上に、チャネル領域115およびSTI105が形成されている。ゲート誘電体130およびゲート120がSTI105およびチャネル領域115の上に形成されている。ディボット125は、STIとチャネル領域115が接触するところのSTI105中にはっきりと示されている。チャネル領域115の厚さは、ゲート120の下では「D1」であるが、STI105/チャネル領域115の界面ではSTIにディボット125が存在するために厚さ「D2」に減少する。ゲート誘電体130およびゲート120は、ディボット125を充填して、「コーナ・デバイス」を形成する。コーナ・デバイスは漏れの原因となる。その理由は、「D2」が「D1」よりも小さいために、デバイスの中央部分の正常なターン・オン電圧よりも低い電圧で、ディボット125の近くのチャネル領域115に導電性反転層が形成されるからである。
再び、図1を参照すると、ディボット125は半導体デバイス100の周囲全体に沿って延びている。上述の「コーナ」デバイスに加えて、ディボット125のために、結果として、ゲート120の画定中に、ディボットからポリシリコンを除去するためにゲート・ポリシリコンをオーバ・エッチングすることが必要になる可能性がある。ポリシリコンがディボット125から除去されない場合には、ゲートとソース/ドレインの短絡が生じる可能性がある。オーバ・エッチングが多すぎる場合には、ゲート120の画定中にゲート酸化物130(図2を参照されたい)の突き抜けが起こり、結果として下にあるシリコンの不要なエッチングを生じる可能性がある。STIディボット形成をなくするかまたは減少させる方法によって、漏れ問題とポリシリコン・エッチングに関連した問題の両方が無くなるかまたは軽減される。しかし、経済的に実行可能とするために、そのような方法により現在の製造プロセスに加えられる変更は、できる限り少なくなければならない。
本発明の第1の態様は、浅溝分離(STI)構造のディボットの形成を制限する方法であり、この方法は、シリコン領域に形成されたトレンチに、このトレンチ内に堆積された酸化物を設けること、シリコン領域の上層を酸化してシリコン領域の上面に熱酸化物層を形成すること、および堆積された酸化物に対して選択的に熱酸化物をエッチングすることを含む。
本発明の第2の態様は浅溝分離(STI)構造を形成する方法であり、この方法は、シリコン領域の上に熱酸化物層を形成すること、熱酸化物層を通してシリコン領域にトレンチを形成すること、堆積された酸化物をトレンチに充填すること、および堆積された酸化物に対して選択的に熱酸化物をエッチングすることを含む。
本発明の第3の態様は浅溝分離(STI)構造を形成する方法であり、この方法は、シリコン領域の上に第1の熱酸化物層を形成すること、第1の熱酸化物層を通してシリコン領域にトレンチを形成すること、堆積された酸化物をトレンチに充填すること、第1の熱酸化物層および堆積された酸化物の上面部分を除去すること、シリコン領域の上に第2の熱酸化物層を形成すること、および第2の熱酸化物層の予め定められた部分を、堆積された酸化物に対して選択的にエッチングすることを含む。
本発明の特徴は添付の特許請求の範囲で明らかになる。しかし、本発明自体は、添付の図面と併せ読めば、例示の実施形態についての以下の詳細な説明を参照して最適に理解できるであろう。
図3から9は、STIディボット形成を示す部分的な断面図である。図3で、シリコン基板140は上面145を有する。シリコン基板140の上面145に、上面155を有するBOX層150が形成される。BOX層150の上面155に、上面165を有するシリコン層160が形成される。1つの例では、シリコン層160は約300Åから2000Åの厚さである。シリコン層160の上面165に、上面175を有するパッド酸化物層170が形成される。パッド酸化物層170の上面175に、パッド窒化物層180が形成される。1つの例では、パッド酸化物層170は、シリコン層160の上部の酸化で形成された熱酸化物であり、約60Åから250Åの厚さである。パッド窒化物層180は、化学気層成長(CVD)プロセスで形成され、約500Åから1500Åの厚さである。
図4で、パッド窒化物層180、パッド酸化物層170およびシリコン層160を通して、BOX層150の上面155を露出させるように、トレンチ185が形成される。パッド窒化物層180上にフォトレジスト層を形成しさらにパターン形成し、パッド窒化物層をプラズマ・エッチングし、フォトレジスト層を剥離し、パッド酸化物層をウェット・エッチングし、さらにシリコン層をプラズマ・エッチングすることで、トレンチ185が形成される。トレンチ185は幅「W1」である。1つの例では、「W1」は500Å以上である。
図5において、誘電体、この例では高密度プラズマ(HDP)酸化物をトレンチ185(図4参照)に充填する。この酸化物を化学機械研磨(CMP)してSTI190を形成する。そして、パッド窒化物層180(図4参照)を除去する。パッド窒化物層180の除去で、パッド酸化物層170の厚さは約60〜250Åから約45〜250Åに減少する。
図6で、希釈フッ化水素酸エッチング液(DHF)を使用してパッド酸化物層170(図5参照)を除去して、シリコン層160の上面165を露出させる。DHFは、水8重量部に対して1重量部の49%HFの水溶液で構成される。DHFによるSTI190のHDP酸化物のエッチング速度は、DHFによるパッド酸化物層170の熱酸化物のエッチング速度の約1倍から3倍である。パッド酸化物層170の全てを確実に除去するために、オーバ・エッチングを行う。DHFによるパッド酸化物層除去プロセスのエッチング時間は、ただ約45Åから250Åが存在するだけであるが、約70Åから400Åのパッド酸化物を除去するように選ばれる。STI190の一部もまた除去される。パッド酸化物層170を除去した後で、STI190はシリコン層160の上面165より上に距離「D3」だけ延びている。1つの例では、「D3」は約700Åから1300Åである。DHFは酸化物の等方性エッチング液である、すなわちDHFは全ての方向に等しくエッチングするので、STI190の露出された周囲に沿って凹所195が形成される。
図7において、シリコン層160の上面165に、犠牲酸化物層200が熱的に成長される。熱酸化プロセスの性質によって、シリコン層160の上の部分はシリコン酸化物に変わる。1つの例では、犠牲酸化物層200は40Åから250Åの厚さである。この点で、様々な製造プロセスを行うことができる。例えば、相補型金属酸化物シリコン(CMOS)デバイス製造の場合、NウェルおよびPウェル・イオン注入を行う。犠牲酸化物層200の目的は、シリコン層160の上面165を保護することである。
図8において、犠牲酸化物層200(図7参照)をDHFを使用して除去する。犠牲酸化物層200の全てを確実に除去するために、オーバ・エッチングを行う。DHFによる犠牲酸化物層除去プロセスのエッチング時間は、ただ約40Åから250Åが存在するだけであるが、約70Åから400Åの犠牲酸化物を除去するように選ばれる。CMOSデバイスの例を続けると、約20Åから70Å厚さの熱ゲート酸化物層202がシリコン層160の上面165に成長する。それから、窒素イオン注入を行う。
図9において、ゲート酸化物層202は、緩衝フッ化水素酸(BHF)でエッチングする。BHFは、49%HF1重量部、30%NHOH5重量部、および水8重量部で構成される。BHFは酸化物の等方性エッチング液である。注入窒素がSTI190に衝突する場合はいつでも、BHFによるHDP酸化物のエッチング速度は、熱酸化物のエッチング速度の約1.5倍から約6倍に増加する。ゲート酸化物層が全体を通して完全にエッチングされることを保証するために、オーバ・エッチングを行う。BHFによるゲート酸化物層エッチング・プロセスのエッチング時間は、ただ約20Åから70Åが存在するだけであるが、約40Åから140Åのゲート酸化物を除去するように選ばれる。BHFによる窒素注入HDPのエッチング速度のこの増加で、結果として、ゲート酸化物をエッチングしたときに、STI190の周囲に沿って大きなディボット205が形成される。ディボット205は、シリコン層160の上面165の下方に直線距離「D5」だけ延び、さらに直線距離「D6」の幅である。1つの例では、「D5」および「D6」は、約500Åを超えている。
図10から16は、本発明に従ってSTIディボット形成を低減する方法を示す部分的な断面図である。図10で、シリコン基板240は上面245を有する。シリコン基板240の上面245に、上面255を有するBOX層250が形成される。BOX層250の上面255に、上面265を有するシリコン層260が形成される。1つの例では、シリコン層260は約300Åから2000Åの厚さである。シリコン層260の上面265に、上面275を有するパッド酸化物層270が形成される。パッド酸化物層270の上面275に、パッド窒化物層280が形成される。1つの例では、パッド酸化物層270は、シリコン層260の上部の酸化で形成された熱酸化物であり、約60Åから250Åの厚さである。パッド窒化物層280は、CVDプロセスで形成され、約500Åから1500Åの厚さである。
図11で、パッド窒化物層280、パッド酸化物層270およびシリコン層260を通して、BOX層250の上面255を露出させるように、トレンチ285が形成される。パッド窒化物層280上にフォトレジスト層を形成しさらにパターン形成し、パッド窒化物層をプラズマ・エッチングし、フォトレジスト層を剥離し、パッド酸化物層をウェット・エッチングし、さらにシリコン層をプラズマ・エッチングすることで、トレンチ285が形成される。トレンチ285は幅「W2」である。1つの例では、「W2」は500Å以上である。
図12において、誘電体、この例では高密度プラズマ(HDP)酸化物をトレンチ285(図11参照)に充填する。この酸化物を化学的機械的研磨(CMP)してSTI290を形成する。そして、パッド窒化物層280(図11参照)を除去する。HDP酸化物は、カリフォルニア州サンノゼのNovellus Corp.で製造されたConcept Toolで、約3600から4000ワットおよび約100ミリトルでSiHおよびOガスの混合物を流して形成することができる。STI290の代替えの誘電体には、高温CVD(HTCVD)酸化物、低圧CVD(LPCVD)酸化物、テトラエトオキシシラン(TEOS)酸化物、および他の堆積酸化物がある。パッド窒化物層280の除去で、パッド酸化物層270の厚さは約60〜250Åから約45〜250Åに減少する。
図13で、DHFを使用して、シリコン層260の上面265を露出させるようにパッド酸化物層270(図12参照)を除去する。DHFは、水80重量部に対して49%HF1重量部の水溶液で構成される。DHFによるSTI290のHDP酸化物のエッチング速度は、DHFによるパッド酸化物層270の熱酸化物のエッチング速度の約1倍から2倍である。パッド酸化物層270の全てを確実に除去するために、オーバ・エッチングを行う。DHFによるパッド酸化物層除去プロセスのエッチング時間は、ただ約45Åから250Åが存在するだけであるが、約70Åから400Åのパッド酸化物を除去するように選ばれる。
別法として、化学的酸化物除去(COR)プロセスを使用して、パッド酸化物層270を除去することができる。CORは、2ステップ・プロセスである。CORの第1のステップは、カリフォルニア州サンタクララのAME Corp.で製造されるAMAT5000ツールで、約1から35sccmの流量のNHと約0から100sccmの流量のHF蒸気の混合物、2から100ミリトルの圧力、および約15から35℃の温度を使用して行うことができる。第1のステップでは、自己不動態化酸化物層およびフッ化水素アンモニウム副産物が形成される。CORの第2のステップは、100℃現場熱脱着アニールである。第1および第2のステップは、所望の厚さの酸化物を除去するのに必要とされるだけの回数繰り返される。CORによるSTI290のHDP酸化物のエッチング速度は、CORによるパッド酸化物層270の熱酸化物のエッチング速度とほぼ同じである。パッド酸化物層270の全てを確実に除去するために、オーバ・エッチングを行う。CORパッド酸化物層除去プロセスは、ただ約45Åから250Åが存在するだけであるが、約60Åから400Åのパッド酸化物を除去するのに十分な回数で行われる。
STI290の一部も除去される。パッド酸化物層270を除去した後で、STI290はシリコン層260の上面265より上に距離「D7」だけ延びている。1つの例では、「D7」は、CORプロセスを使用して約800Åから1500Åであり、DHFエッチング液を使用して約700Åから1300Åである。CORおよびDHFの両方は酸化物の等方性エッチング液であるので、STI290の露出された周囲に沿って凹所295が形成される。
図14において、シリコン層260の上面265に、犠牲酸化物層300が熱的に成長する。熱酸化プロセスの性質によって、シリコン層260の上の部分はシリコン酸化物に変わる。1つの例では、犠牲酸化物層300は40Åから250Åの厚さである。この点で、様々な製造プロセスを行うことができる。例えば、相補型金属酸化物シリコン(CMOS)・デバイス製造の場合、NウェルおよびPウェル・イオン注入を行う。犠牲酸化物層300の目的は、シリコン層260の上面265を保護することである。
図15において、犠牲酸化物層300(図14参照)をDHFを使用して除去する。犠牲酸化物層300の全てを確実に除去するために、オーバ・エッチングを行う。DHFによる犠牲酸化物層除去プロセスのエッチング時間は、ただ約40Åから250Åが存在するだけであるが、約70Åから400Åの犠牲酸化物を除去するように選ばれる。
別法として、CORプロセスを使用して、犠牲酸化物層300を除去することができる。ただ約40Åから250Åが存在するだけであるが、約60Åから400Åの犠牲酸化物層300を除去するのに十分な回数だけ、CORパッド酸化物層除去プロセスを行う。
CMOSデバイスの例を続けると、約20Åから70Å厚さの熱ゲート酸化物層302をシリコン層260の上面265に成長させる。それから、窒素イオン注入を行う。
図16では、ゲート酸化物層302は、CORプロセスを使用してエッチングする。注入窒素がSTI290に衝突する場合はいつでも、HDP酸化物のCORエッチング速度は、熱酸化物の約半分以下に減少する。言い換えると、ゲート(熱)酸化物と窒素注入HDP(堆積)酸化物のエッチング速度比は、少なくとも1:1である。ゲート酸化物層が全体を通して完全にエッチングされることを保証するために、オーバ・エッチングを行う。CORゲート酸化物層除去プロセスは、約40Åから140Åのゲート酸化物を除去するのに十分な回数だけ行う。COR処理による窒素注入HDPのエッチング速度の減少、およびCOR酸化物エッチングの自己制限性によって、結果として、ゲート酸化物をエッチングしたときに、STI290の周囲に沿ってディボット305が無くなるか、またはディボットの大きさが小さくなる。ディボット305は、シリコン層260の上面265の下方に距離「D8」だけ延び、さらに幅が「D9」である。1つの例では、「D8」は約0から20Åであり、「D9」は約0から250Åである。
表1は、CORプロセスがパッド酸化物、犠牲酸化物、およびゲート酸化物を取り除く(除去する)ために使用されたときと比較して、DHFおよびBHFがパッド酸化物、犠牲酸化物、およびゲート酸化物を取り除く(エッチングする)ために使用されたときに失われたHDP(HDP)酸化物の量を示す(実験的測定に基づいて)。
Figure 0004001866
表1から理解できるように、ゲート酸化物除去に使用されたとき(HDP損失が10分の1となる)、HDP(STI)を除去しないという点で、CORの使用は、最も有効であるが、パッド酸化物および犠牲酸化物除去に使用されるときHDP(STI)に相当な影響を及ぼす。両方のプロセス(DHF/BHF対COR)において、HDP(STI)は窒素イオン注入に曝され、そしてパッド酸化物、犠牲酸化物およびゲート酸化物の同じ厚さが除去された。パッド酸化物、犠牲酸化物およびゲート酸化物は互いに異なる厚さであり、異なるエッチング時間を必要とするので、異なる量のHDP(STI)が失われた。
本発明の実施形態について、上記で本発明の理解のために述べた。本発明は本明細書に述べられている特定の実施形態に制限されず、本発明の範囲から逸脱することなく当業者には明らかなように様々な修正形態、再構成、および置換が可能であることを理解されたい。例えば、窒素注入は、アルゴン、水素、リン、砒素、硼素、ヘリウムおよびゲルマニウムのような他の原子の注入と置き替えることができる。また、本発明についてはSOI技術に関して図示し述べたが、本発明はバルク・シリコン技術にも応用することができる。したがって、以下の特許請求の範囲は、本発明の真の精神および範囲内に含まれるような全ての修正形態および変更を含むものとする。
本発明は半導体デバイスの製造で有用である。より具体的には、半導体デバイスに使用される浅溝分離(STI)構造でディボット形成を制限するために有用である。
STIディボットを示す半導体トランジスタの上面図である。 図1の2−2を通した側面図である。 STIディボット形成を示す部分的な断面図である。 STIディボット形成を示す部分的な断面図である。 STIディボット形成を示す部分的な断面図である。 STIディボット形成を示す部分的な断面図である。 STIディボット形成を示す部分的な断面図である。 STIディボット形成を示す部分的な断面図である。 STIディボット形成を示す部分的な断面図である。 本発明に従ってSTIディボット形成を減少させる方法を示す部分的な断面図である。 本発明に従ってSTIディボット形成を減少させる方法を示す部分的な断面図である。 本発明に従ってSTIディボット形成を減少させる方法を示す部分的な断面図である。 本発明に従ってSTIディボット形成を減少させる方法を示す部分的な断面図である。 本発明に従ってSTIディボット形成を減少させる方法を示す部分的な断面図である。 本発明に従ってSTIディボット形成を減少させる方法を示す部分的な断面図である。 本発明に従ってSTIディボット形成を減少させる方法を示す部分的な断面図である。

Claims (8)

  1. 浅溝分離(STI)構造のディボットの形成を制限する方法であって、順に、 シリコン領域(260)に形成されたトレンチ(285)内に、高密度プラズマ(HDP)酸化物(290)を設けるステップと、 前記シリコン領域(260)の上層を酸化して前記シリコン領域(260)の上面に熱酸化物層(302)を形成するステップと、 前記熱酸化物層(302)および前記高密度プラズマ酸化物(290)に窒素を注入するステップと、 化学的酸化物除去(COR)プロセスを用いて前記熱酸化物(302)を前記高密度プラズマ酸化物(290)に対して選択的にエッチングするステップとを含み、前記熱酸化物が前記高密度プラズマ酸化物(290)よりも速くエッチングされる方法。
  2. 浅溝分離(STI)構造を形成する方法であって、 シリコン領域(260)上に第1の熱酸化物層(270)を形成するステップと、 前記第1の熱酸化物層(270)を通して前記シリコン領域(260)にトレンチ(285)を形成するステップと、 前記トレンチ(285)内に、高密度プラズマ(HDP)酸化物(290)を設けるステップと、 前記第1の熱酸化物層(270)および前記高密度プラズマ酸化物(290)の上面部分を除去するステップと、 前記シリコン領域(260)上に第2の熱酸化物層(302)を形成するステップと、 前記第2の熱酸化物層(302)および前記高密度プラズマ酸化物(290)に窒素を注入するステップと、 化学的酸化物除去(COR)プロセスを用いて前記第2の熱酸化物層(302)の予め定められた部分を前記高密度プラズマ酸化物(290)に対して選択的にエッチングするステップとを含み、前記第2の熱酸化物層(302)が、前記高密度プラズマ酸化物(290)よりも速くエッチングされる方法。
  3. 前記選択エッチングが、前記トレンチ(285)の周囲に沿ってディボット(305)を形成しないか、またはディボット(305)の最大直線寸法(D8、D9)が500Åを超えないように前記トレンチ(285)の周囲に沿って前記ディボット(305)を形成する、請求項1または2に記載の方法。
  4. 熱酸化物(302)と高密度プラズマ酸化物(290)のエッチング速度比が少なくとも2:1である、請求項1に記載の方法。
  5. 前記化学的酸化物除去プロセスが、少なくとも一連のNHとHFの混合物を使用する蒸気エッチング・ステップとそれに続く脱着アニール・ステップとを含む、請求項1または2に記載の方法。
  6. 前記第1の熱酸化物層(270)および前記高密度プラズマ酸化物(290)の上面部分を除去する前記ステップが、希釈HFエッチング、緩衝HFエッチング、および化学的な酸化物除去から成るグループから選択されたプロセスを使用して行われる、請求項2に記載の方法。
  7. さらに、前記第1の熱酸化物層(270)の前記除去の後で、前記シリコン領域(260)の上に第3の熱酸化物層(300)を形成するステップと、 前記第3の熱酸化物層(300)、および前記高密度プラズマ酸化物(290)の他の上面部分を除去するステップとを含み、 前記第3の熱酸化物層を除去する前記ステップが、希釈HFエッチング、緩衝HFエッチング、および化学的な酸化物除去から成るグループから選択される、請求項2に記載の方法。
  8. 前記第2の熱酸化物層(302)の高密度プラズマ酸化物(290)に対するエッチング速度比が少なくとも2:1である、請求項2に記載の方法。
JP2003546380A 2001-11-20 2002-11-14 浅溝分離(sti)プロセス後のディボット形成を制限する方法 Expired - Fee Related JP4001866B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/989,585 US6541351B1 (en) 2001-11-20 2001-11-20 Method for limiting divot formation in post shallow trench isolation processes
PCT/US2002/036397 WO2003044833A2 (en) 2001-11-20 2002-11-14 Method for limiting divot formation in post shallow trench isolation processes

Publications (2)

Publication Number Publication Date
JP2005510080A JP2005510080A (ja) 2005-04-14
JP4001866B2 true JP4001866B2 (ja) 2007-10-31

Family

ID=25535245

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003546380A Expired - Fee Related JP4001866B2 (ja) 2001-11-20 2002-11-14 浅溝分離(sti)プロセス後のディボット形成を制限する方法

Country Status (8)

Country Link
US (1) US6541351B1 (ja)
EP (1) EP1464074B1 (ja)
JP (1) JP4001866B2 (ja)
KR (1) KR100560578B1 (ja)
CN (1) CN1613141A (ja)
AU (1) AU2002357717A1 (ja)
TW (1) TWI220063B (ja)
WO (1) WO2003044833A2 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004072063A (ja) * 2002-06-10 2004-03-04 Nec Electronics Corp 半導体装置及びその製造方法
US6713385B1 (en) * 2002-10-31 2004-03-30 Intel Corporation Implanting ions in shallow trench isolation structures
US6905941B2 (en) * 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US7094127B2 (en) * 2004-03-01 2006-08-22 Milliken & Company Apparel articles including white polyurethane foams that exhibit a reduction in propensity for discoloring
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
KR100539275B1 (ko) * 2004-07-12 2005-12-27 삼성전자주식회사 반도체 장치의 제조 방법
CN100449709C (zh) * 2005-02-14 2009-01-07 东京毅力科创株式会社 基板处理方法、清洗方法、电子设备的制造方法和程序
JP4843285B2 (ja) * 2005-02-14 2011-12-21 東京エレクトロン株式会社 電子デバイスの製造方法及びプログラム
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4933763B2 (ja) 2005-02-18 2012-05-16 東京エレクトロン株式会社 固体撮像素子の製造方法、薄膜デバイスの製造方法及びプログラム
US8802537B1 (en) * 2005-07-27 2014-08-12 Spansion Llc System and method for improving reliability in a semiconductor device
DE102005037566B4 (de) * 2005-08-09 2008-04-24 Qimonda Ag Herstellungsverfahren für eine Halbleiterstruktur und entsprechende Halbleiterstruktur
JP5119604B2 (ja) * 2006-03-16 2013-01-16 ソニー株式会社 半導体装置の製造方法
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7446007B2 (en) * 2006-11-17 2008-11-04 International Business Machines Corporation Multi-layer spacer with inhibited recess/undercut and method for fabrication thereof
US20090053834A1 (en) * 2007-08-23 2009-02-26 Vladimir Alexeevich Ukraintsev Use of scatterometry for in-line detection of poly-si strings left in sti divot after gate etch
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
CN101958268B (zh) * 2009-07-21 2012-05-30 中芯国际集成电路制造(上海)有限公司 隔离结构的制作方法
US9953885B2 (en) 2009-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. STI shape near fin bottom of Si fin in bulk FinFET
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
CN102412182B (zh) * 2010-09-19 2015-09-02 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构形成方法
CN102569161B (zh) * 2010-12-22 2014-06-04 无锡华润上华半导体有限公司 半导体器件制造方法
CN102543672A (zh) * 2010-12-22 2012-07-04 中芯国际集成电路制造(上海)有限公司 去除自然氧化硅层和形成自对准硅化物的方法
CN102569083B (zh) * 2010-12-23 2014-12-24 中芯国际集成电路制造(上海)有限公司 具有高k金属栅极的金属氧化物半导体的形成方法
US8735972B2 (en) 2011-09-08 2014-05-27 International Business Machines Corporation SRAM cell having recessed storage node connections and method of fabricating same
CN103151295B (zh) * 2011-12-07 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US8603895B1 (en) 2012-09-11 2013-12-10 Globalfoundries Inc. Methods of forming isolation structures for semiconductor devices by performing a deposition-etch-deposition sequence
KR102277398B1 (ko) * 2014-09-17 2021-07-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
JP2017152531A (ja) * 2016-02-24 2017-08-31 東京エレクトロン株式会社 基板処理方法
JP6977474B2 (ja) * 2017-10-23 2021-12-08 東京エレクトロン株式会社 半導体装置の製造方法
US10510855B2 (en) * 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor layout to reduce kink effect
DE102018114750A1 (de) 2017-11-14 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-layout zum reduzieren des kink-effekts
US10468410B2 (en) * 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate modulation to improve kink effect
KR102564326B1 (ko) 2018-10-29 2023-08-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11239313B2 (en) 2018-10-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip and method of forming thereof
US11127621B2 (en) * 2019-11-04 2021-09-21 United Microelectronics Corp. Method of forming semiconductor device
TWI744004B (zh) * 2020-09-23 2021-10-21 力晶積成電子製造股份有限公司 減少淺溝渠隔離結構邊緣凹陷的方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5316965A (en) * 1993-07-29 1994-05-31 Digital Equipment Corporation Method of decreasing the field oxide etch rate in isolation technology
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5923991A (en) * 1996-11-05 1999-07-13 International Business Machines Corporation Methods to prevent divot formation in shallow trench isolation areas
US6165853A (en) * 1997-06-16 2000-12-26 Micron Technology, Inc. Trench isolation method
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US6051478A (en) * 1997-12-18 2000-04-18 Advanced Micro Devices, Inc. Method of enhancing trench edge oxide quality
TW379405B (en) 1998-02-13 2000-01-11 United Integrated Circuits Corp Manufacturing method of shallow trench isolation structure
KR100280107B1 (ko) 1998-05-07 2001-03-02 윤종용 트렌치 격리 형성 방법
KR100275730B1 (ko) 1998-05-11 2000-12-15 윤종용 트렌치 소자분리 방법
US6093619A (en) 1998-06-18 2000-07-25 Taiwan Semiconductor Manufaturing Company Method to form trench-free buried contact in process with STI technology
KR100292616B1 (ko) 1998-10-09 2001-07-12 윤종용 트렌치격리의제조방법
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
TW410423B (en) 1998-10-21 2000-11-01 United Microelectronics Corp Manufacture method of shallow trench isolation
US20010014513A1 (en) 1999-01-20 2001-08-16 Max G. Levy Sti divot and seam elimination
US6027982A (en) 1999-02-05 2000-02-22 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures with improved isolation fill and surface planarity
US6248641B1 (en) 1999-02-05 2001-06-19 United Microelectronics Corp. Method of fabricating shallow trench isolation
TW530372B (en) * 1999-03-09 2003-05-01 Mosel Vitelic Inc Shallow trench isolation process
US6165871A (en) 1999-07-16 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Method of making low-leakage architecture for sub-0.18 μm salicided CMOS device
TW432594B (en) * 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6245619B1 (en) * 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback

Also Published As

Publication number Publication date
TWI220063B (en) 2004-08-01
WO2003044833A3 (en) 2003-11-27
JP2005510080A (ja) 2005-04-14
CN1613141A (zh) 2005-05-04
EP1464074A4 (en) 2009-06-03
EP1464074B1 (en) 2013-07-17
AU2002357717A1 (en) 2003-06-10
WO2003044833A2 (en) 2003-05-30
US6541351B1 (en) 2003-04-01
EP1464074A2 (en) 2004-10-06
KR100560578B1 (ko) 2006-03-14
AU2002357717A8 (en) 2003-06-10
KR20040103896A (ko) 2004-12-09

Similar Documents

Publication Publication Date Title
JP4001866B2 (ja) 浅溝分離(sti)プロセス後のディボット形成を制限する方法
KR100316221B1 (ko) 얕은트렌치격리신기술
US7196396B2 (en) Semiconductor device having STI without divot and its manufacture
US6245619B1 (en) Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
JP4347431B2 (ja) トレンチ素子分離方法
US7611950B2 (en) Method for forming shallow trench isolation in semiconductor device
JP3880466B2 (ja) 薄いシリコン・オン・インシュレータ基板用の浅いトレンチ分離を形成する方法
US8163625B2 (en) Method for fabricating an isolation structure
US6642536B1 (en) Hybrid silicon on insulator/bulk strained silicon technology
US6979867B2 (en) SOI chip with mesa isolation and recess resistant regions
JPH11145273A (ja) 半導体装置の製造方法
US6271147B1 (en) Methods of forming trench isolation regions using spin-on material
US6784075B2 (en) Method of forming shallow trench isolation with silicon oxynitride barrier film
TW200421525A (en) Method of forming shallow trench isolation(STI) with chamfered corner
US20130122684A1 (en) Semiconductor process for removing oxide layer
KR100839894B1 (ko) 반도체 장치 및 그 제조 방법
US6344374B1 (en) Method of fabricating insulators for isolating electronic devices
JP2005353892A (ja) 半導体基板、半導体装置及びその製造方法
US6720235B2 (en) Method of forming shallow trench isolation in a semiconductor substrate
US20030181014A1 (en) Method of manufacturing semiconductor device with STI
US6900112B2 (en) Process for forming shallow trench isolation region with corner protection layer
KR100200751B1 (ko) 반도체장치의 소자분리방법
JP2005072358A (ja) 半導体装置の製造方法
JP2000200830A (ja) トレンチ素子分離領域を有する半導体装置の製造方法
JP2003100868A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060926

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070814

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070815

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100824

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100824

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110824

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120824

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130824

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees