KR0160338B1 - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR0160338B1
KR0160338B1 KR1019950004128A KR19950004128A KR0160338B1 KR 0160338 B1 KR0160338 B1 KR 0160338B1 KR 1019950004128 A KR1019950004128 A KR 1019950004128A KR 19950004128 A KR19950004128 A KR 19950004128A KR 0160338 B1 KR0160338 B1 KR 0160338B1
Authority
KR
South Korea
Prior art keywords
film
vapor deposition
sog
chemical vapor
deposition method
Prior art date
Application number
KR1019950004128A
Other languages
English (en)
Inventor
고지 기시모또
데쯔야 홈마
Original Assignee
가네꼬 히사시
니뽄 덴끼 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가네꼬 히사시, 니뽄 덴끼 가부시끼가이샤 filed Critical 가네꼬 히사시
Application granted granted Critical
Publication of KR0160338B1 publication Critical patent/KR0160338B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

하층 배선 및 플라즈마 산화막을 형성한 후, SOG막은 수소화실세스퀴옥산을 주성분으로 함유하는 용액으로 회전 도포된다. SOG막의 프리-베이킹은 제1열처리에 의해 실행되며, 제1열처리에서 보다 높은 온도의 제2열처리에 의해 SOG막의 리플로우를 야기시킨다. 계속해서, 다른 플라즈마 산화막이 형성된다. 이로써, SOG막을 포함한 층간 절연막에서의 균열등의 발생이 억제되며 내수성이 우수해진다.

Description

반도체 장치의 제조 방법
제1a 내지 1g도는 본 발명의 제1실시예에 따른 제조 공정을 예시한 단면모식도.
제2도는 제1실시예의 SOG막의 특성을 나타내는 것으로, 열처리시 온도에 따른 SOG막의 수축률 의존성을 예시하는 그래프.
제3도는 제1실시예의 SOG막의 특성을 나타내는 것으로, 열처리시 온도에 따른 SOG막의 응력 의존성을 예시하는 그래프.
제4a 내지 4c도는 본 발명의 제2실시예에 따른 제조 공정을 예시한 단면모식도.
제5a 내지 5c도는 본 발명의 제3실시예에 따른 제조 공정을 예시한 단면모식도.
제6a 내지 6e도는 본 발명의 제4실시예에 따른 제조 공정을 예시한 단면모식도.
* 도면의 주요부분에 대한 부호의 설명
101 : 실리콘 기판 102 : BPSG막
109a∼109c,129,139 : SOG막 132 : 하층 절연막
[발명의 배경]
[발명의 분야]
본 발명은 일반적으로 반도체 장치의 제조 방법에 관한 것으로, 특히, 다층 배선을 갖는 반도체 장치에서 층간 절연막의 형성 방법에 관한 것이다.
[종래의 기술]
반도체 소자의 미세화에 관련하여, 반도체 장치의 구성에 있어서 다층 배선의 사용은 필수적인 것이다. 다층 배선을 갖는 반도체 장치의 층간 절연막으로서, 상층 배선(upper level wiring) 및 하층 배선(lower level wiring) 사이에서와 같은 배선간의 기생 용량(parasitic capacity)을 저감시킬 목적으로 산화 실리콘계의 절연막이 주로 사용되었다. 반도체 소자의 미세화에 따라, 하층 배선의 배선 간격은 배선간의 애스펙트비(하층 배선의 막두께(=하층 배선의 높이)/하층 배선의 배선 간격)를 높이기 위해 감소된다. 따라서, 최근의 층간 절연막의 형성에 있어, 층간 절연막 표면이 매끄럽고, 하층 배선의 배선간에 있는 층간 절연막의 간격 충전성이 높은 것이 요구되고 있다. 또한, 상층 배선 및 하층 배선간의 기생 용량을 감소시키기 위해, 하층 배선의 상부 표면상의 층간 절연막의 막두께가 두꺼운 것이 바람직하다. 이러한 요구를 만족시키는 종래의 층간 절연막 형성 방법으로는 SOG막을 이용하는 방법과, PSG막 또는 BPSG막의 리플로우(reflow) 방법의 2가지가 있다.
BPSG막등을 리플로우하는 방법은 하층 배선이 다결정 실리콘막, 고융점 금속막, 고융점 금속 실리사이드막 또는 고융점 금속 폴리사이드막으로 형성되는 데에 적합하지만, 하층 배선이 알루미늄계 금속, 동이나 동합금 또는 금이나 금합금으로 형성되는 데에는 부적당한 것으로 이용될 수 있다. 리플로우 실행에 필요한 온도는 최소 약 800℃가 되어야 한다. 하지만, 이 경우, 하층 배선은 적층 금속막이 되고 여기에서, 배리어 금속막은 동이나 금막의 상/하부에 제공된다. 상기 온도에서, 동 및 금의 열확산은 적층 금속막의 배리어 금속에 의해 억제될 수 없다.
하층 배선의 주재료가 알루미늄계 금속일 경우, 종래의 SOG막을 사용하는 방법이 발명의 이해를 도울 수 있도록 간략하게 이하에 논의되어 있다.
먼저, 반도체 기판 표면에 필요한 반도체 소자가 형성된다. 그리고, 전면에 예컨대, BPSG막이 증착된다. BPSG막을 리플로우함으로써, 초벌(under coat) 절연막이 형성된다. 초벌 절연막을 통하여 콘택트 호울이 형성된다. 다음으로, 티타늄막, 질화 티타늄막(또는 질화 텅스텐막), 알루미늄-동-실리콘 합금막 및 질화 티타늄막(또는 질화 텅스텐막)의 순차 스퍼터링하여 800nm의 막두께를 갖는 적층 금속막을 형성한다. 공지된 방법으로 적층 금속막의 패터닝을 행하여, 복수의 하층 배선이 형성된다. 이들 하층 배선간의 최소 간격은 0.4μm이다. 이 부분에서, 두 하층 배선간의 간격부의 애스펙트비는 약 2가 된다. 이때, 하층 배선 및 초벌 절연막은 실리콘 기판에 대해 각각 인장 및 압축 응력을 갖는다.
다음으로, 하층 배선을 포함한 초벌 절연막의 표면은 화학 기상 성장법(chemical vapor deposition)에 의해 약 200nm의 막두께를 갖는 산화 실리콘막으로 도포된다. 이런 산화 실리콘막의 막두께는 하층 배선의 상면 및 초벌 절연막의 상면을 직접 도포하는 부분에서 200nm가 되지만, 하층 배선의 측면을 도포하는 부분에서는 100 내지 150nm가 된다. 따라서, 산화 실리콘막으로 도포되는 상술한 간격의 애스펙트비는 4 내지 8이 된다. 화학 기상 성장법의 성장 온도는 하층 배선의 구성 재료에 의해 제약을 받아서, 450℃가 된다. 또한, 화학 기상 성장법으로서 초벌 절연막의 응력과의 정합성 및 하층 배선에 대한 단차 피복성(step coverage ability)에 관하여 플라즈마 화학 기상 성장법이 양호하다. 이때, 산화 실리콘막은 1×108Pa 정도의 압축 응력을 갖는다.
다음으로, 주성분 및 유기 용제(organic solvent)로서 폴리 규산(nSiO2·m(H2O):여기에서, 분자량은 1000g/mol, n은 약 30 내지 40, m은 n-2, n-1, n 및 n+1중 하나의 값)을 함유한 용액이 산화 실리콘막 표면에 예컨대, 5000rpm으로 회전 도포한다. 이어서, 150℃ 정도의 온도에서 약 1분간 프리 베이킹을 실시하여 유기 용제를 제거한다. 이로써, 산화 실리콘막 표면은 SOG막으로 덮이게 된다. 이 SOG막의 막두께는 하층 배선의 상부면상의 위치에서 겨우 200nm가 된다. 이때, 4 내지 8의 애스펙트비를 갖는 간격 부분도 SOG막으로 완전히 충전된다. 이 단계에서, SOG막은 실라놀 결합(Si-OH)을 다량 함유하고 있다. 이어서, 약 300℃ 온도에서의 열처리는 약 30분간 실시된다. 이 열처리에 의해, SOG막에 탈수반응(dehydrogenation)(2실라놀 결합으로부터 1분자 H2O가 분리됨)이 일어나서 대부분의 실라놀 결합은 실록산 결합(SiO-Si)으로 변환된다. 열처리된 (무기성) SOG막은 1×103Pa 정도의 인장 응력을 갖는다.
폴리실록산((SiR2O)n)을 주성분으로 함유하는 유기 용제를 사용하여 두꺼운 막을 갖는 유기계 SOG막이 형성될 수 있으나, 이런 유기계 SOG막에 알킬기가 잔존하므로 유기계 SOG막을 반도체 장치에 잔류시키는 것은 바람직하지 못하다.
다음으로, (필요에 따라) 상술한 SOG막의 형성 공정을 1∼3회 정도 반복한다. 이어서, SOG막의 이방성 에칭에 의한 에칭 백(etching back)을 실행하여, 적어도 간격부에 SOG막을 잔존시켜, 표면이 대체로 매끄러운 산화 실리콘의 층간 절연막을 형성하게 된다. 또한, 필요에 따라, 제2산화 실리콘막은 화학 기상 성장법에 의해 전면에 형성된다. 그리고 나서, 하층 배선에 도달하는 스루 호울(through hole)이 형성된다. 이어서, 알루미늄이나 알루미늄 합금의 상층 배선이 형성되어, 반도체 장치가 제조된다.
하지만, 폴리 규산을 출발 원료로 한 (무기성) SOG막을 사용하는 층간 절연막을 형성하는 방법에 있어서, 300℃ 정도 온도의 열처리는 실라놀 결합을 실록산 결합으로 변환하는 것이 필요하다. 이 열처리에 의한 탈수 반응에 의해, 열처리후의 SOG막을 열처리전의 SOG막의 체적과 비교하여 20% 이상의 체적 수축 현상이 발생된다. 이런 열처리후의 SOG막은 실록산 결합에 의해 망 구조를 갖는다. 하지만, 실라놀 결합이 열처리에 의해 실록산 결합으로 변환될 수 있다. 따라서, (무기성) SOG막의 망 구조는 친수기(hydrophilic radical)인 실라놀 결합으로 종단될 확률이 높다. 또한, 전술한 바와 같이, 열처리후의 SOG막은 높은 인장 응력을 갖는다.
따라서, SOG막을 사용하는 종래의 층간 절연막의 형성 방법은 이하의 문제점을 갖는다. 먼저, 상술한 바와 같이, 열처리된 SOG막을 프리-베이킹(pre-baking) 직후의 SOG막과 비교하여 큰 체적 수축을 야기시킨다. SOG막의 막두께가 150 내지 200nm가 될때, 균열 및 보이드(void)가 일어나 층간 절연막 표면의 매끄러운 성질 및 공극부의 충전성이 부족해진다. 결과적으로, 상층 배선의 단선(breakage), 상층 배선간의 배선사이 및 상층 배선과 하층 배선간의 단락화, 상층 배선의 이동 내성의 노화 등이 발생된다. 1회의 공정 단계에서 형성되는 SOG막의 실용 막두께는 겨우 100nm 정도이다. 따라서, SOG막의 형성에서 층간 절연막의 충분한 막두께를 확보하기 위하여, 상술한 공정이 여러 번 반복되어 제조 공정이 길어지게 된다.
다음으로, 열처리된 SOG막은 높은 인장 응력을 갖고 친수기로서 실라놀 결합으로 망 구조를 종단시킬 높은 확률을 가지므로, SOG막은 수분을 흡착하여 응력을 완화시키는 경향이 있다. 이 결과, 상층 배선(또는 하층 배선)의 내습성이 감화될 수 있다.
신(new) SOG막을 사용하여 상술한 문제점을 해소하는 층간 절연막의 형성 방법은 1992년 VLSI Multilevel Interconnection Conference 보고서의 PP 180 내지 186에 기록되어 있다. 그 방법은 다음과 같다:
먼저, 반도체 기판 전표면을 덮는 초벌 절연막을 형성한다. 그리고, 알루미늄막으로 하층 배선을 형성한 후, 주성분으로서 수소화실세스퀴옥산((HSiO3/2)n)을 함유한 용액이 전면에 도포된다. 150℃로 프리-베이킹한 후, 200℃로 60초간, 300℃로 60초간 그리고, 450℃로 15분간 열처리 실시하여 신 SOG막을 형성하게 된다.
신 SOG막의 주요 특징은 다음과 같다. SOG의 출발 원료의 분자량은 종래의 무기계 SOG막의 출발 원료로서의 폴리 규산의 분자량보다 훨씬 크다. 따라서, 종래의 무기계 SOG막 두께보다 두꺼운 막을 형성하는 것이 가능해진다. 이 SOG막은 약 200℃ 정도의 온도에서 글라스 전이(glass transition)를 발생하는 특성을 갖는다. 이 SOG막은 소수기(hydrophobic radical)인 Si-HI 결합을 종단하는 실록산 망 구조를 갖는다. 따라서, 종래의 SOG막은 우수한 내수성을 갖는다.
본 발명의 발명자는 상기 보고서에 따른 신 SOG막으로 층간 절연막의 추가 실험을 실행하였다. 최종 열처리후 SOG막의 최적 수축률은 약 4%였다. 폴리 규산을 출발 원료로 하여 형성된 종래의 무기계 SOG막과 비교되는 인장 응력(1×108Pa)을 갖는다.
추가 실험을 통하여, SOG막의 막두께는 출발 원료로 폴리 규산으로 형성된 무기성 SOG막보다 더 두껍게 형성 가능하여, 막두께가 약 500nm로 될때 균열 발생이 현저해진다. 이러한 균열등의 발생은 종래의 무기계 SOG막과 유사하게 응력의 완화로 인해 야기된다. 하지만, 종래의 무기계 SOG막과는 달리, 극단적인 흡수성을 수반하지는 않는다.
[발명의 개요]
본 발명의 목적은 수소화실세스퀴옥산으로 이루어진 상기 SOG막을 포함한 층간 절연막을 형성하는 방법을 제공하는 것이다.
본 발명의 다른 목적은 두꺼운 막두께를 제공하기 위해 균열, 보이드등의 발생을 억제시키는 층간 절연막을 형성하는 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 내습성이 충분히 높은 층간 절연막의 형성 방법을 제공하는 것이다.
본 발명에 따른 반도체 장치의 제조 공정에 있어서, 하층 배선 및 상층 배선간의 적층 절연막이 다음의 단계를 통하여 형성된다. 먼저, 절연막을 실리콘 기판 표면위에 배치하여 복수의 하층 배선을 형성한 후, 상기 하층 배선의 표면 및 상기 절연막의 표면을 덮는 제1산화 실리콘막이 제1플라즈마 화학 기상 성장법으로 형성된다. 그리고 나서, 수소화실세스퀴옥산((HSiO3/2)n)을 주성분으로 하는 용액을 상기 제1산화 실리콘막에 도포하여, 제1열처리로 프리-베이킹을 실시하여, 상기 제1열처리보다 높은 온도에서의 제2열처리로 상기 SOG막의 리플로우를 실행하게 된다. 다음에, 리플로우된 SOG막의 표면을 덮는 제2산화 실리콘막은 제2플라즈마 화학 기상 성장법으로 형성된다.
결과적으로, 본 발명에 따른 공정에 의해 형성되는 층간 절연막은 하층 배선간의 공극부를 충전시키기에 충분하며, 응력의 완화를 촉진시키기 위해 충분히 매끄러운 표면을 갖는다. 이에 따라, 층간 절연막의 균열 및 보이드등의 형성이 억제된다. 또한, 상층 배선 및 하층 배선의 내습성도 개선되며, 상층 배선의 파손, 내습성의 저하, 상층 배선 및 상/하층 배선간등의 단락화 발생을 효과적으로 방지하도록 가동성도 개선된다. 아울러, 본 발명에 따라, 층간 절연막의 충분한 두께 형성이 제조 공정의 확대없이 용이하게 이루어질 수 있다.
[양호한 실시예의 설명]
본 발명의 양호한 실시예가 수반하는 도면을 참조하여 이하 논의될 것이다. 다음 설명에 있어서, 본 발명의 완전한 이해를 돕기 위해 세부적인 특정 부호가 표기되어 있다. 하지만, 당업자라면, 이들 특정 세부사항 없이도 본 발명이 실행됨을 명백히 알 수 있을 것이다.
제1a 내지 1g도는 제1실시예에 따른 반도체 장치의 순차적인 제조 공정에 대한 단면 모식도이다. 제2도 및 3도는 약 10000g/mol 분자량의 수소화실세스퀴옥산((HSiO3/2)n)(여기에서, n은 약 181 내지 195임)으로 형성된 SOG막의 열처리 온도에 따른 인장 응력 및 수축률의 의존성을 나타내는 그래프이다. 제1a도 내지 3도를 참조하여, 본 발명의 제1실시예에 대하여 논의하고자 한다. 제시된 실시예는 하층 배선이 알루미늄계 금속을 주성분으로 함유하는 물질로 형성되며 상기 SOG막이 포함되어 있는 반도체 장치의 제조 공정에 직접 관련한다.
먼저, 제1a도에 도시된 바와 같이, 실리콘 기판(101) 표면에 요구되는 반도체 소자(도시 생략)가 형성되어 있다. 그리고, BPSG막등과 같은 초벌 절연막이 전체 표면위에 증착된다. 증착된 막의 리플로우를 실행함으로써, BPSG막(102)을 형성하게 된다. 다음으로, 콘택트 호울(도시 생략)이 BPSG막(102)을 통해 형성된다. BPSG막(102)의 상면이 매끄러운데, 반드시 평탄해야 할 필요는 없음을 주목해야 한다.
그리고 나서, BPSG막(102)상에서, 약 100nm 두께의 티타늄막(103), 약 100nm 두께의 질화 티타늄막(104)(질화 텅스텐막도 가능), 약 550nm 두께의 알루미늄-동-실리콘 합금막(105) 및 질화 티타늄막(106)(질화 텅스텐막도 가능)을 스퍼터링하여 약 800nm 두께의 적층 금속막을 형성한다. 후속하여, 공지된 방식으로 패터닝하여, 하층 배선(107A,107B,107C 및 107D)이 형성된다. 이들 하층 배선(107A,107B,107C 및 107D)간의 최소 간격은 0.4μm이다. 간격이 최소가 되는 부분에서, 두 하층 배선간에 배치되어 있는 공극부에서의 애스펙트비는 약 2가 된다. 이때, BPSG막(102) 및 하층 배선(107A,107B,107C 및 107D)등 각각은 실리콘 기판(101)에 대하여 압축 응력 및 인장 응력을 갖는다.
다음으로, 제1b도에서와 같이, 테트라 에틸오소실리케이트(Si(OC2H5)4; TEOS)를 질소, 아르곤 또는 헬륨으로 버블링(bubbling)하여 생성된 가스 및 산소를 원료로 하여 플라즈마 화학 기상 성장법에 의해 하층 배선(107A,107B,107C 및 107D)등의 노출면 및 BPSG막(102)의 노출면을 덮는 제1산화 실리콘막(이하, 플라즈마 산화막이라 함)(108)을 형성한다. 플라즈마 산화막(108)은 실리콘 기판(101)에 대하여 약 1×105Pa의 압축 응력을 갖는다. 플라즈마 산화막(108)의 성장 온도(실리콘 기판(101)의 배면에 대한 가열 온도)는 예컨대, 400℃이다. 성장 시간은 2 내지 3분이다. 플라즈마 산화막(108)은 단차 피복성이 우수하다. 플라즈마 산화막(108)의 막두께는 하층 배선(107A)등의 상면 및 BPSG막(102)의 상면은 직접 도포하는 부분에서 200nm가 되며, 하층 배선(107A)등의 측면을 직접 도포하는 부분에서는 100 내지 150nm가 된다. 따라서, 플라즈마 산화막(108)에 의해 도포되는 공극부의 애스펙트비는 4 내지 8이 된다.
플라즈마 산화막(108)의 성장 온도는 성장 시간을 짧게 하도록 설정됨을 주목해야 한다. 이 단계에서 상기 성장 온도가 400℃로 설정될때, 상기 알루미늄-동-실리콘 합금막(105)의 측면에 알루미늄 박막이 형성되므로, 알루미늄-동-실리콘 합금막(105)은 리플로우되지 않는다. 성장 온도는 필요하다면, 300℃ 정도로 낮아질 수도 있다. 반면, TEOS 및 산소로 플라즈마 화학 기상 성장법을 대신하여, 실란(SiH4) 및 아산화질소(N2O)를 원료로 하여 플라즈마 화학 기상 성장법을 이용한다. 이 성장법에서의 성장 온도는 약 270 내지 300℃이다. 이 경우, 하층 배선(107A)등에 대한 단차 피복성은 TEOS 및 산소에 의한 플라즈마 화학 기상 성장법에 의해 형성된 플라즈마 산화막(108)보다 다소 열악하다.
다음으로, 제1c도에서와 같이, 수소화실세스퀴옥산((HSiO3/2)n)(분자량:약 10000g/mol; n:180 내지 195)을 주성분(약 20중량% 함유)으로, 메틸이소부틸케톤(CH3COC2H3(CH3)2; MIBK)을 용제로 한 용액을 전면에 약 1000rpm으로 회전 도포한다. 200℃로 2분간 제1열처리로 프리-베이킹을 핫 플레이트위에 실행하여, 상기 용제를 완전 증발시켜 플라즈마 산화막(108) 표면을 덮는 SOG막(도시 생략)을 형성한다. 300℃에서 1시간 정도의 제2열처리에 의해 실행되는 프리-베이킹된 SOG막의 탈수 및 리플로우에 의해 SOG막(109a)을 형성하게 된다. SOG막(109a)의 상면은 매끄럽다. SOG막(109a)의 막두께는 하층 배선(107A,107B,107C 및 107D)의 상면 부분에서는 200 내지 300nm 정도이며, 하층 배선의 간격이 넓은 BPSG막(102)의 표면 바로 윗부분에서는 700nm가 된다. 또한, SOG막(109a)은 애스펙트비가 4 내지 8이 되는 하층 배선의 공극부도 완전히 충전시킨다.
제2도는 열처리시 온도와 수축률간의 관계를 도시한 것으로, 가로축은 열처리 온도를 나타내며, 세로축은 수축률을 나타내고 있다. 수소화실세스퀴옥산을 출발 원료로 한 SOG막은 200℃ 정도에서 글라스 전이를 일으킨다. 제1열처리로서 프리-베이킹의 온도 설정은 용제의 제거 및 글라스 전이로 동시에 야기된다. 탈수화에 의해 수축을 일으키게 된다. 프리-베이킹 단계의 SOG막에 대한 SOG막(109a)의 수축률은 1% 이하이다. 300℃에서 1시간의 열처리 대신에, 400℃로 1시간, 500℃로 1시간의 열처리를 실행할때, 수축률은 약 3% 및 9%로 각각 증가하게 된다.
굴절률을 비교해 보자면, 프리-베이킹 직후의 SOG막은 1.41의 굴절률을 가지며, 300℃의 열처리에 따른 SOG막(109a)은 1.39이고, 400℃ 및 500℃의 열처리에 따른 SOG막은 각각 1.41 및 1.43의 굴절률을 갖는다. 즉, 300℃의 열처리된 SOG막(109a)의 굴절률이 최소가 된다. SOG막(109a)의 1MHz의 비유전율은 약 3.0이며, 통상의(화학 기상 성장법이나 열산화법에 의한) 산화 실리콘막보다 작은 값이 된다. 이로써, SOG막(109a)의 수분함량은 극히 적어지고, 통상의 산화 실리콘막보다 비중이 더 작다.
반면, 제3도는 열처리시 온도 및 인장 응력간의 관계를 도시한 그래프로서, 가로축은 열처리 온도를 나타내고, 세로축은 인장 응력을 나타내고 있다. 열처리 온도에 대한 응력의 변화 결과는 다음과 같다. 실리콘 기판(101)에 대하여, SOG막(109a), 400℃ 및 500℃에서 열처리된 SOG막은 약 0.2×108Pa, 약 1×108Pa, 약 1.5×108Pa의 인장 응력을 각각 갖는다. 적외-흡수(FT-IR) 스펙트럼의 측정에 있어서, SOG막(109a) 및 400℃, 500℃의 열처리된 SOG막은 열처리 직후에 실라놀기를 갖지 않는다. 1개월간 방치해둔후, 실라놀기 및 수분 함유량은 500℃ 열처리된 SOG막에서 검출된다. 큰 응력을 갖는 것에도 불구하고 400℃ 열처리된 SOG막은 수분을 함유하고 있지 않다. 열처리된 SOG 경우에 있어서, 응력의 완화는 수분 흡수에 관련되지 않음이 명백해진다. 균열 및 보이드의 발생을 무시하면(이에 관한 고찰이 후술됨), 리플로우성 및 내수성(내습성)에 대하여 제2열처리 온도는 300℃∼400℃가 적합하다.
다음, 제1d도에서와 같이 플라즈마 산화막(108)과 유사한 방법으로, 300nm 막두께의 플라즈마 산화막(110a)이 제2산화 실리콘막으로서 형성된다. 그리고 나서, 본 실시예에서 층간 절연막의 형성이 완료된다. 플라즈마 산화막(110a)의 형성에 있어서, SOG막(109a)도 400℃ 열처리 온도가 가해진다. 하지만, 단시간 처리되어, SOG막(109)의 막질의 변화가 무시될 수 있다. 플라즈마 산화막(110a)은 또한 실란 및 아산화질소를 원료로 하여 플라즈마 화학 기상 성장법으로 형성될 수 있음을 주목해야 한다.
계속해서, 제1e도에서와 같이, 포토레지스트를 마스크로 채택하여, 플라즈마 산화막(110a), SOG막(109a) 및 플라즈마 산화막(108)을 순차 에칭하여 하층 배선(107A)에 도달하는 호울(111a)을 형성하게 된다. 이 에칭은 트리플루오르화메탄(CHF3) 및 산소를 에칭 가스로 하여 10Pa 압력하의 1200W 전력으로 이방성 에칭하는 것이다. 이 에칭에 있어서, 질화 티타늄막(106)의 에칭률이 높아서, 스루 호울(through hole, 111a)의 저면에서는 알루미늄-동-실리콘 합금막(105)이 노출된다.
연속하여, 제1f도에서와 같이, 티타늄막(113a) 및 질화 티타늄막(114a)이 순차 스퍼터링에 의해 전면에 형성되며, 플라즈마 산화막(110a)을 덮는 텅스텐막(115a)은 화학 기상 성장법에 의해 형성된다. 이어서, 플라즈마 산화막(110a)을 덮는 텅스텐막(115a)이 제거될때까지, 텅스텐막(115a)의 플라즈마 에칭에 의한 에칭백(etching back)이 실행된다. 이 플라즈마 에칭은 예컨대, 다음과 같은 상태하에서 실시된다. 에칭 가스로서 6플루오르화유황(SF6)이 사용되며, 캐리어 가스로서 아르곤(Ar) 가스가 사용된다. 이 에칭은 30Pa 압력하의 400W 전력으로 실행된다. 이런 조건하에서의 에칭백에 의해, 플라즈마 산화막(110a)의 상면을 덮는 티타늄막(113a) 및 질화 티타늄막(114a)이 제거된다. 따라서, 스루 호울(111a)을 충전하는 티타늄막(113a), 질화 티타늄막(114a) 및 텅스텐막(115a)이 남아 있게 된다.
아울러, 제1g도에서와 같이, 티타늄막(123a), 질화 티타늄막(124a, 질화 텅스텐막도 가능), 알루미늄-동-실리콘 합금막(125a) 및 질화 티타늄막(126a)이 적층 금속막을 형성하도록 스퍼터링에 의해 순차 증착된다. 공지된 방식으로 패터닝 실시하여, 상층 배선(127a)을 형성한다.
상기 제1실시예의 SOG막(109a)은 상기 보고(1992년의 VLSI Mutilevel Interconnection Conference 예고집 PP 180∼186)의 SOG막과 유사 형태로, 소수기 Si-H 결합을 종단하는 실록산 망구조를 갖는다. 따라서, 본 실시예의 SOG막(109a)도 상기 보고의 SOG막과 유사하게, 폴리실록산((SiR3)O)n)을 출발 원료로 사용하는 종래의 무기계 SOG막과 비교하여 내수성(내습성)이 우수하며, (스루 호울(111a)을 충전하는 부분을 포함하는) 상층 배선(127a) 및 하층 배선(107A)의 내습성 저하를 억제시키는 것이 용이하다.
반면, 프리-베이킹된 SOG막에 대한 수축률은 SOG막(109a)이 상기 보고의 SOG막에서보다 작다. 또한, SOG막(109a)은 상기 보고된 SOG막에서 보다 실리콘 기판에 대해 더 작은 인장 응력을 갖는다. 이 보고된 방법에 있어서, SOG막 자체의 인장 응력은 크며, 보고된 SOG막의 초벌은 압축 응력을 갖는 초벌 절연막 및 인장 응력을 갖는 하층 배선으로 구성되며, 응력 분포에서의 불연속성이 발생된다. 따라서, 보고된 SOG막은 균열 및 보이드등을 쉽게 일으키는 것이 고려된다. 결과적으로, 상기 보고된 SOG막의 막두께는 500nm를 넘지 않는다.
이와 반대로, 본 실시예에 있어서, SOG막(109a) 자체의 인장 응력이 작고 SOG막(109a)에 대한 초벌이 압축 응력을 갖는 플라즈마 산화막(108)으로만 구성되므로, 초벌에 있어 응력 분포의 불연속성이 없게 된다. 따라서, SOG막(109a)은 균열 및 보이드를 잘 일으키지 않는다. 아울러, 본 실시예에서, 하층 배선 사이(예컨대, 하층 배선107A) 및 하층 배선하층 배선(107B) 사이)의 충전성도 양호하여, 1μm 막두께조차도 사용 가능하다.
또한, 플라즈마 산화막(108)을 제공함으로써, 제2열처리로 SOG막(109a)내의 균열 및 보이드가 작아져서 막두께는 1μm 정도로 감소될 수 있다. 이런 사실로, 400℃에서도 SOG막(109a)을 덮는 플라즈마 산화막(110a)의 성장 온도에 큰 영향을 받지 않음이 명백해진다.
아울러, 본 실시예에서, SOG막(109a)의 표면은 실리콘 기판에 대해 압축 응력을 갖는 플라즈마 산화막(110a)으로 도포된다. 즉, 인장 응력을 갖는 SOG막(109a)은 플라즈마 산화막(110a) 및 플라즈마 산화막(108)으로 삽입된다. 본 실시예의 층간 절연막이 플라즈마 산화막(110a), SOG막(109a) 및 플라즈마 산화막(108)으로 구성되므로, 이 층간 절연막 자체의 응력, 층간 절연막과 하층 배선(107A)등 사이의 응력 및 층간 절연막과 상층 배선(127a)간의 응력을 완화시키는 것이 용이해진다.
플라즈마 산화막(110a)이 제공되지 않을 경우, 인장 응력을 갖는 상층 배선(127a)이 인장 응력을 갖는 SOG막(109a) 위에 직접 형성되어, 상층 배선(127a)의 손상 및 SOG막(109a)의 균열등이 빈번하게 발생된다.
상술한 바와 같이, 본 실시예에서는 제조 공정을 연장시키지 않고서 두꺼운 막두께를 갖는 SOG막의 형성을 용이하게 한다. 아울러, SOG막(109a)의 형성에 리플로우 공정이 포함되므로, SOG막(109a)의 상면은 매우 매끄러워지며 상층 배선의 형성에 있어 가공성이 양호해진다. 따라서, 상층 배선의 손상 및 이동 내성의 저하가 효과적으로 방지될 수 있다. 또한, 상층 배선 사이와, 상층 배선 및 하층 배선간의 단락 발생등도 현저하게 감소된다.
상기 제1실시예에서는 하층 배선을 형성하는데 알루미늄을 주재료로한 한 금속막을 사용하여, 상기 제1실시예에서 알루미늄-동-실리콘 합금막 대신에 스퍼터링에 의한 동막이나 플레이팅법에 의한 금막을 사용하는 것이 가능함을 주목해 볼 수 있다. 또한 본 실시예는 하층 배선이 다결정 실리콘막, 고유점 금속막, 고융점 금속 실리사이드막 또는 고융점 금속 폴리사이드막으로 형성되는 경우에도 적용 가능하다.
다음으로, 본 발명의 제2실시예는 제4a 내지 4c도를 참고로 하여 논의될 것이다. 제2실시예는 SOG막의 평탄화 공정이 있다는 점에서 상기 제1실시예와 다르다. 즉,(상기 제1실시예와 같은 두께를 갖는) 플라즈마 산화막(108)의 형성에 따른 공정은 상기 제1실시예에서와 같다.
플라즈마 산화막(108)의 형성후, 수소화실세스퀴옥산을 주성분으로, 메틸 이소부틸케톤을 용제로 한 용액이 약 1000rpm으로 전면에 회전 도포된다. 그리고, 200℃로 약 2분간의 열처리로서 프리-베이킹하며, 질소 분위기의 오븐에서 300℃로 약 1시간의 제2열처리를 실행하여 플라즈마 산화막(108)의 표면을 덮는 SOG막(도시 생략)을 형성하게 된다. SOG막의 막두께는 하층 배선(107A,107B,107C 및 107D)상에서 200 내지 300nm 정도이며, 하층 배선 간격이 넓은 BPSG막(102)의 표면상에서는 700nm 정도이다. 또는 상기 공정이 반복적으로 실행된다. 따라서 형성되는 SOG막의 막두께는 하층 배선(107A,107B,107C 및 107D)상에서 600 내지 700nm 정도이고, 하층 배선 간격이 넓은 BPSG막(102)의 표면상에서는 700nm 정도이다.
계속해서, 0.05%∼0.1% 농도의 순수(pure water)로 희석된 플로우로화수소산 용액을 사용하여, SOG막 표면을 화학적 기계 연마를 실행하여 평탄화된 상면을 갖는 SOG막(109b)을 형성하게 된다. 제2열처리에 의해 처리된 SOG막은 통상의 화학 기상 성장법 또는 열산화법에 의해 형성된 산화 실리콘막보다 플루오르화수소산계의 습윤 에칭에 대해 높은 에칭률을 갖기 때문에, 평탄화가 극히 용이하게 이루어진다. 이때, SOG막(109b)의 막두께는 예컨대, 하층 배선(107A,107B,107C 및 107D) 상면에서 300nm 정도가 된다. 약 300∼400℃에서의 열처리 부가도 가능하다.
다음으로, 제4b도에서와 같이, 상기 제1실시예와 같은 방식에서, 약 300nm 두께의 플라즈마 산화막(110b)은 플라즈마 화학 기상 성장법에 의해 형성된다. 그리고, 이방성 에칭등에 의해, 하층 배선(107A)에 이르는 스루 호울(111b)이 형성된다.
이어서, 제4c도에서와 같이, 제1실시예와 같은 방식으로, 티타늄막(113b), 질화 티타늄막(114b) 및 텅스텐막(115b)이 스루 호울(111b)을 메운다. 또한, 티타늄막(123b), 질화 티타늄막(124b), 알루미늄-동-실리콘 합금막(125b) 및 질화 티타늄막(126b)으로 구성된 적층 금속막으로 상층 배선이 형성된다.
상기 제2실시예는 상기 제1실시예에 의해 달성되는 효과를 모두 갖고 있다. 또한, 본 실시예는 플라즈마 산화막(108), SOG막(109b) 및 플라즈마 산화막(110b)으로 구성되어 상층 배선에 대한 초벌 형성하는 층간 절연막을 가지며, 이 층간 절연막의 상면은 평탄하다. 따라서, 상층 배선(12b)을 형성하는 포토리소그래픽 공정에서 정렬 마진(alignment margin)이 제1실시예에서보다 축소된다. 또한, 상층 배선을 형성하기 위한 에칭에 있어서, 제1실시예에서보다 에칭의 잔량이 더 적다. 따라서, 상층 배선간의 단락화 발생률이 감소될 수 있다.
다음으로, 제5a∼5c도를 참고하여, 본 발명의 제3실시예에 대하여 논의하고자 한다. 본 실시예는 상기 제2실시예의 응용으로 다음과 같이 구성되어 있다.
먼저, 제5a도에서와 같이, 실리콘 기판(101)위에 필요한 반도체 소자들을 형성시킨후, 실리콘 기판(101)상에 초벌막(132)을 형성한다. 초벌막(132)의 상면은 평탄 표면을 갖는다. 초벌면(132)은 산화 실리콘계의 막이다. 필요한 콘택트 호울(또는, 스루 호울)을 형성한후, 티타늄막(133), 질화 티타늄막(134), 알루미늄-동-실리콘 합금막(135) 및 질화 티타늄막(136)으로 구성된 적층 금속막으로 된 하층 배선(137A,137B,137C 및 137D)을 형성한다. 실리콘 기판(101)의 표면에서 하층 배(137A,137B,137C 및 137D)의 상면까지의 높이는 서로 동일하다.
아울러, 상기 제1 및 제2실시예와 유사한 방법으로 플라즈마 산화막(138)이 형성된다. 하층 배선(137A) 상부에서 플라즈마 산화막(138)의 막두께는 약 200nm이다. 계속해서, 제2실시예와 유사한 방법으로 간격이 넓은 하층 배선(132)의 표면 윗부분에서 약 1400nm의 막두께를 갖도록 제2열처리에 의해 처리된 SOG막이 형성된다. 그리고 나서, 0.05%∼0.1% 순수로 희성된 플로우로화수소산 용액을 사용하여, 하층 배선(137A)등의 바로 상면의 플라즈마 산화막(138) 상면이 노출될 때까지 상기 SOG막을 화학적 기계 연마 실행하여, 하층 배선(137A)등이 바로 상면의 플라즈마 산화막(138) 상면에 동일 평면으로 SOG막(139)의 상면이 놓이게 된다. 상술한 바와 같이, 플라즈마 산화막(138)과 비교하여, 제2열처리를 통해 처리된 SOG막(139)을 플루오르화수소산계 습윤 에칭에 대해 높은 에칭률을 가져서, 화학적 기계 연마의 제어가 용이하다.
다음으로, 제5b도에서와 같이, 상기 제2실시예와 유사한 방법으로, 약 500nm 두께로 플라즈마 산화막(140)이 SOG막(139)의 상면 및 플라즈마 산화막(138)의 노출 상면을 덮도록 플라즈마 화학 기상 성장법에 의해 형성된다. 그리고, 공지된 습윤 에칭 및 이방성 에칭등에 의해, 상부에 큰 직경을 가지며 하층 배선(137)에 달하는 스루 호울(141)이 형성된다.
계속해서, 제5c도에서와 같이, 약 1Pa 압력하에서 스퍼터링함으로써, 티타늄막(153), 질화 티타늄막(154)(질화 텅스텐막도 가능) 및 알루미늄-동-실리콘 합금막(도시 생략)이 순차 형성된다. 이에 따라, 장치내에서, 상기 스퍼터링은 약 1Pa 압력을 유지하면서 실행된다. 알루미늄-동-실리콘 산화막(155)을 형성하기 위해 알루미늄-동-실리콘 합금막내에서 리플로우를 야기시키도록 약 400℃로 온도가 상승된다. 그리고, 온도를 저하시킨후, 텅스텐막(155)의 표면을 덮는 질화 티타늄막(156)(질화 텅스텐막도 가능)이 형성된다.
상기 제3실시예는 상기 제2실시예에서 달성되는 모든 효과를 갖는다. 또한, 본 실시예는 SOG막(139)의 에칭을 필요로 하지 않고서 플라즈마 산화막(140,138)의 에칭에 의해서만 스루 호울(141)을 형성할 수 있으므로, 스루 호울의 구조는 스루 호울(141)의 구조와 같을 수 있다. 이로써, 제1 및 제2실시예와 달리, 스루 호울을 충전하는 적층 금속막의 형성 및 가공 공정, 즉, 티타늄막(113a), 질화 티타늄막(114) 및 텅스텐막(115a)의 형성 및 그의 공정이 생략되어도 효과적이다.
다음으로, 제6a∼6e도를 참조하여 본 발명의 제4실시예에 관하여 논의하고자 한다.
먼저, 제6a도에서와 같이, 플라즈마 산화막(108)(막두께는 제1실시예에서와 같음) 및 SOG막(109c)은 제1실시예에서와 같은 방식으로 형성된다. SOG막(109c)의 막두께는 하층 배선(107A,107B,107C 및 107D)의 상면 부분에서 약 200∼300nm이며, 하층 배선의 간격이 넓은 부분에서 BPSG막 표면 바로 윗부분에서는 약 700nm이다.
계속해서, 제6b도에서와 같이, 산소 플라즈마 처리가 실행된다. 이에 따라, 제3처리는 질소 분위기에서 1시간 동안 300℃ 온도에서 실행된다. 이런 일련의 처리에 의해, SOG막(109c)으로부터 400nm 정도의 깊이로 SOG막(129)으로 변환된다. 따라서, 하층 배선(107A,107B,107C 및 107D)의 바로 윗부분의 SOG막은 모두 SOG막(129)이 된다. 그때, 하층 배선 사이에 비변환된 SOG막(109c)이 남아 있게 된다. 산소 플라즈마 처리의 조건의 일례는 다음과 같다. 압력은 약 200Pa이며, 온도는 약 200℃이다. 산소 유량은 200sec이며, 마이크로파 전력은 1400W이고, 처리 시간은 약 10분이다.
상기 산소 플라즈마 처리에 의해, SOG막(129)의 Si-H 결합이 산화되어, 실라놀기(Si-OH)로 부분 변환되며 실록산기(Si-O-Si)로도 부분 변환된다. 상기 제3열처리에 의해, 실라놀기를 중심으로 탈수 반응이 일어나서 견고한 SOG막이 얻어진다. 플루오르화수소산계의 습윤 에칭에 대한 SOG막의 에칭률은 통상의 산화 실리콘막보다 크지만, SOG막(109c)보다는 작다. 이러한 일련의 처리에 있어서, 프리-베이킹 직후의 SOG막과 비교하여 SOG막(129)의 수축률은 약 2%이다. 실리콘 기판(101)에 대하여, SOG막(129)은 약 0.5×108Pa 인장 응력을 갖는다. 수축률 및 응력의 크기는 SOG막(109c)보다는 크지만, 제2처리시 400℃의 SOG막 처리에서 보다 작다. 제3열처리의 온도는 300∼400℃가 바람직하다.
다음으로, 제6c도에서와 같이, 상기 제1실시예와 유사한 방식으로 약 500nm의 막두께를 갖는 플라즈마 산화막(110c)이 SOG막(129)의 표면상에 형성된다.
계속해서, 제6d도에서와 같이, SOG막(129)이 견고화되는 사실을 이용하여, 제3실시예의 스루 호울(141)과 동일 구조를 갖는 스루 호울(111c)을 형성하게 된다.
그리고, 제6e도에서와 같이, 제3실시예와 유사한 방식으로, 티타늄막(113c), 질화 티타늄막(114c), 리플로우된 텅스텐막(115c) 및 질화 티타늄막(116c)으로 구성된 적층 금속막이 형성되며, 상층 배선(117c)을 형성하도록 패턴화된다.
상기 제4실시예에서는 제1실시예에 의해 달성되는 효과를 갖는다. 적층 금속막의 형성 및 공정 단계의 단축에 관하여, 제3실시예와 동일한 효과를 얻을 수 있다.
제2실시예와 유사하게, 리플로우된 SOG막을 형성하여, 화학적 기계 연마법등에 의해 SOG막의 표면을 평탄화하고, 산화 플라즈마 처리 및 제3열처리를 실행할 수 있음이 주목된다.
비록 본 발명이 실시예에 관하여 예시 및 기술되어 있으나, 본 발명의 기본 원리 및 범위를 벗어나지 않는한, 당업자에 의한 여러가지 변경, 생략 및 확장이 가능해짐을 이해해야 할 것이다. 따라서, 본 발명은 상기 특정 실시예에 국한되지 않으며, 수반하는 청구 범위에서 한정된 특성에 관하여 그의 범위내의 가능한 모든 실시예를 포함할 수 있다.

Claims (10)

  1. 절연막을 끼고 있는 실리콘 기판 표면상에 복수의 하층 배선(lower level wiring)을 형성하는 단계와; 제1플라즈마 화학 기상 성장법(plasma chemical vapor deposition method)에 의해 상기 하층 배선 표면 및 상기 절연막 표면을 덮는 제1산화 실리콘막을 형성하는 단계와; 수소화실세스퀴옥산((HSiO3/2)n)을 주성분으로 함유하는 용액을 상기 제1산화 실리콘막 표면에 도포하며 제1열처리에 의한 프리-베이킹(pre-baking)을 행함으로써, 상기 제1산화 실리콘막을 덮는 SOG막을 형성하는 단계와; 상기 제1열처리보다 높은 온도의 제2열처리에 의해 상기 SOG막을 리플로우(reflow)하는 단계와; 제2플라즈마 화학 기상 성장법에 의해, 리플로우된 SOG막의 표면을 덮는 제2산화 실리콘막을 형성하는 단계 및; 상기 제2산화 실리콘막상의 상층 배선을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.
  2. 제1항에 있어서, 상기 하층 배선이 소정의 알루미늄이나 알루미늄 합금막, 동이나 동 합금막, 금이나 금 합금막 및 이들중 적어도 하나의 막으로 적층된 막등으로 선택되는 것을 특징으로 하는 반도체 장치의 제조방법.
  3. 제1항에 있어서, 화학적 기계 연마법(chemical mechanical polishing method)에 의해 상기 리플로우된 SOG막을 평탄화하는 단계를 추가로 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.
  4. 제1항에 있어서, 상기 제1플라즈마 화학 기상 성장법 및 상기 제2플라즈마 화학 기상 성장법 중 적어도 하나는 테트라에틸오소실리케이트 및 산소를 원료로 사용하는 플라즈마 화학 기상 성장법인 것을 특징으로 하는 반도체 장치의 제조방법.
  5. 제1항에 있어서, 상기 제1플라즈마 화학 기상 성장법 및 상기 제2플라즈마 화학 기상 성장법 중 적어도 하나는 실란 및 아산화질소를 원료로 사용하는 플라즈마 화학 기상 성장법인 것을 특징으로 하는 반도체 장치의 제조방법.
  6. 절연막을 끼고 있는 실리콘 기판 표면상에 복수의 하층 배선을 형성하는 단계와; 제1플라즈마 화학 기상 성장법에 의해 상기 하층 배선의 표면 및 상기 절연막의 표면을 덮는 제1산화 실리콘막을 형성하는 단계와; 수소화실세스퀴옥산((HSiO3/2)n)을 주성분으로 함유하는 용액을 상기 제1산화 실리콘막에 도포하며 제1열처리에 의한 프리-베이킹함으로써, 상기 제1산화 실리콘막을 덮는 SOG막을 형성하는 단계와; 상기 제1열처리에서보다 높은 온도의 제2열처리에 의해 상기 SOG막을 리플로우하는 단계와; 리플로우된 SOG막의 표면을 산소 플라즈마 처리하는 단계와; 상기 제1열처리에서보다 높은 온도의 제3열처리에 의해, 산소 플라즈마 처리된 상기 SOG막을 견고화하는 단계와; 제2플라즈마 화학 기상 성장법에 의해, 리플로우된 SOG막 표면을 덮는 제2산화 실리콘막을 형성하는 단계 및; 상기 제2산화 실리콘막상의 상층 배선을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.
  7. 제6항에 있어서, 상기 하층 배선은 소정의 알루미늄이나 알루미늄 합금막, 동이나 동 합금막, 금이나 금 합금막 및 이들중 적어도 하나의 막으로 적층된 막등으로 선택되는 것을 특징으로 하는 반도체 장치의 제조방법.
  8. 제6항에 있어서, 화학적 기계 연마법에 의해, 상기 리플로우된 SOG막을 평탄화하는 단계를 추가로 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.
  9. 제6항에 있어서, 상기 제1플라즈마 화학 기상 성장법 및 상기 제2플라즈마 화학 기상 성장법 중 적어도 하나는 테트라에틸오소실리케이트 및 산소를 원료로 사용하는 플라즈마 화학 기상 성장법인 것을 특징으로 하는 반도체 장치의 제조방법.
  10. 제6항에 있어서, 상기 제1플라즈마 화학 기상 성장법 및 상기 제2플라즈마 화학 기상 성장법 중 적어도 하나는 실란 및 아산화질소를 원료로 사용하는 플라즈마 화학 기상 성장법인 것을 특징으로 하는 반도체 장치의 제조방법.
KR1019950004128A 1994-02-28 1995-02-28 반도체 장치의 제조 방법 KR0160338B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP94-030667 1994-02-28
JP6030667A JP2751820B2 (ja) 1994-02-28 1994-02-28 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
KR0160338B1 true KR0160338B1 (ko) 1999-02-01

Family

ID=12310093

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950004128A KR0160338B1 (ko) 1994-02-28 1995-02-28 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (1) US5506177A (ko)
JP (1) JP2751820B2 (ko)
KR (1) KR0160338B1 (ko)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3158749B2 (ja) * 1992-12-16 2001-04-23 ヤマハ株式会社 半導体装置
JP3214186B2 (ja) * 1993-10-07 2001-10-02 三菱電機株式会社 半導体装置の製造方法
US5756397A (en) * 1993-12-28 1998-05-26 Lg Semicon Co., Ltd. Method of fabricating a wiring in a semiconductor device
US6278174B1 (en) * 1994-04-28 2001-08-21 Texas Instruments Incorporated Integrated circuit insulator and structure using low dielectric insulator material including HSQ and fluorinated oxide
US5656555A (en) * 1995-02-17 1997-08-12 Texas Instruments Incorporated Modified hydrogen silsesquioxane spin-on glass
US5889330A (en) * 1995-03-10 1999-03-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device whose flattening resin film component has a controlled carbon atom content
US5892282A (en) * 1995-05-31 1999-04-06 Texas Instruments Incorporated Barrier-less plug structure
KR100208442B1 (ko) * 1995-06-24 1999-07-15 김영환 반도체 소자의 비아홀 형성방법
JP3149739B2 (ja) * 1995-07-14 2001-03-26 ヤマハ株式会社 多層配線形成法
US6191484B1 (en) 1995-07-28 2001-02-20 Stmicroelectronics, Inc. Method of forming planarized multilevel metallization in an integrated circuit
JP3407500B2 (ja) * 1995-09-01 2003-05-19 ヤマハ株式会社 半導体装置の製造方法
JP3277103B2 (ja) * 1995-09-18 2002-04-22 株式会社東芝 半導体装置及びその製造方法
JP2825077B2 (ja) * 1996-01-26 1998-11-18 日本電気株式会社 半導体装置の製造方法および製造装置
JPH09260492A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 半導体装置の製造方法
KR100255659B1 (ko) * 1996-03-30 2000-05-01 윤종용 반도체 장치의 sog층 처리 방법
EP0810648A3 (en) * 1996-05-31 1997-12-29 Texas Instruments Incorporated Improvements in or relating to semiconductor devices
US5801096A (en) * 1996-06-03 1998-09-01 Taiwan Semiconductor Manufacturing Company Ltd. Self-aligned tungsen etch back process to minimize seams in tungsten plugs
KR100203905B1 (ko) * 1996-06-27 1999-06-15 김영환 금속배선 제조방법
US6114186A (en) * 1996-07-30 2000-09-05 Texas Instruments Incorporated Hydrogen silsesquioxane thin films for low capacitance structures in integrated circuits
US5767014A (en) * 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
JP3123449B2 (ja) * 1996-11-01 2001-01-09 ヤマハ株式会社 多層配線形成法
JP3082688B2 (ja) * 1996-11-05 2000-08-28 ヤマハ株式会社 配線形成法
KR100420416B1 (ko) * 1996-11-13 2004-05-22 주식회사 하이닉스반도체 반도체소자의금속배선형성방법
JP3264196B2 (ja) * 1996-12-02 2002-03-11 ヤマハ株式会社 絶縁膜平坦化法
JP3225872B2 (ja) 1996-12-24 2001-11-05 ヤマハ株式会社 酸化シリコン膜形成法
JPH10247686A (ja) * 1996-12-30 1998-09-14 Yamaha Corp 多層配線形成法
EP0862202A1 (en) * 1997-02-27 1998-09-02 Nec Corporation Method for making a semiconductor device with a planarizing SOG layer and apparatus used in the same method
US5854126A (en) * 1997-03-31 1998-12-29 Siemens Aktiengesellschaft Method for forming metallization in semiconductor devices with a self-planarizing material
US6743856B1 (en) * 1997-04-21 2004-06-01 Honeywell International Inc. Synthesis of siloxane resins
AU2652797A (en) * 1997-05-13 1998-12-08 Mitsubishi Denki Kabushiki Kaisha Dielectric thin film element and method for manufacturing the same
TW375779B (en) * 1997-06-03 1999-12-01 United Microelectronics Corp Method for treating via side wall
TW392288B (en) 1997-06-06 2000-06-01 Dow Corning Thermally stable dielectric coatings
US5976979A (en) * 1997-06-10 1999-11-02 Industrial Technology Research Institute Sequential oxygen plasma treatment and chemical mechanical polish (CMP) planarizing method for forming planarized low dielectric constant dielectric layer
JPH113936A (ja) * 1997-06-13 1999-01-06 Nec Corp 半導体装置の製造方法
JPH1126449A (ja) * 1997-06-30 1999-01-29 Sony Corp 絶縁膜の成膜方法
SG71147A1 (en) 1997-08-29 2000-03-21 Dow Corning Toray Silicone Method for forming insulating thin films
JP3226021B2 (ja) * 1997-09-02 2001-11-05 日本電気株式会社 半導体装置の製造方法
US6114219A (en) * 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US5866945A (en) * 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
TW354417B (en) * 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
US5888911A (en) * 1997-10-23 1999-03-30 Advanced Micro Devices, Inc. HSQ processing for reduced dielectric constant
JPH11150101A (ja) * 1997-11-18 1999-06-02 Nec Corp 半導体装置の製造方法
TW374946B (en) * 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
US6114766A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Integrated circuit with metal features presenting a larger landing area for vias
US6093635A (en) * 1997-12-18 2000-07-25 Advanced Micro Devices, Inc. High integrity borderless vias with HSQ gap filled patterned conductive layers
US6030891A (en) * 1997-12-18 2000-02-29 Advanced Micro Devices, Inc. Vacuum baked HSQ gap fill layer for high integrity borderless vias
KR100476371B1 (ko) * 1997-12-30 2005-07-05 주식회사 하이닉스반도체 금속층간의평탄화절연막형성방법
KR100448245B1 (ko) * 1997-12-30 2004-11-16 주식회사 하이닉스반도체 반도체 소자의 금속배선간 절연막 형성방법
JP3211950B2 (ja) 1998-01-19 2001-09-25 日本電気株式会社 半導体装置およびその製造方法
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3362662B2 (ja) 1998-03-11 2003-01-07 日本電気株式会社 半導体装置の製造方法
JP3469771B2 (ja) * 1998-03-24 2003-11-25 富士通株式会社 半導体装置およびその製造方法
JP3175691B2 (ja) 1998-05-08 2001-06-11 日本電気株式会社 多層配線半導体装置の製造方法
US6113465A (en) * 1998-06-16 2000-09-05 Speedfam-Ipec Corporation Method and apparatus for improving die planarity and global uniformity of semiconductor wafers in a chemical mechanical polishing context
TW410435B (en) * 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
US6043146A (en) * 1998-07-27 2000-03-28 Motorola, Inc. Process for forming a semiconductor device
US6255232B1 (en) * 1999-02-11 2001-07-03 Taiwan Semiconductor Manufacturing Company Method for forming low dielectric constant spin-on-polymer (SOP) dielectric layer
KR100564546B1 (ko) * 1999-04-07 2006-03-28 삼성전자주식회사 저유전율막 증착 설비 및 이를 이용한 반도체소자의 저유전율막증착 방법
US6140224A (en) * 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
TW410430B (en) * 1999-05-26 2000-11-01 Taiwan Semiconductor Mfg Planarization of inter metal dielectric layer
JP2001053023A (ja) * 1999-08-11 2001-02-23 Tokyo Electron Ltd 半導体装置の製造方法及び製造装置
US6358841B1 (en) 1999-08-23 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of copper CMP on low dielectric constant HSQ material
US6531389B1 (en) 1999-12-20 2003-03-11 Taiwan Semiconductor Manufacturing Company Method for forming incompletely landed via with attenuated contact resistance
US6492257B1 (en) * 2000-02-04 2002-12-10 Advanced Micro Devices, Inc. Water vapor plasma for effective low-k dielectric resist stripping
US20050003215A1 (en) * 2000-02-16 2005-01-06 Nigel Hacker Synthesis of siloxane resins
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
KR100396889B1 (ko) * 2001-03-08 2003-09-03 삼성전자주식회사 크랙방지층을 이용한 콘택 형성방법 및 이를 이용한반도체 소자
JP3530938B2 (ja) * 2001-03-27 2004-05-24 独立行政法人産業技術総合研究所 シルセスキオキサン系ポリマーを含む耐熱性樹脂組成物、該組成物を用いて形成された被膜、及びその被膜の作成方法
AUPR451601A0 (en) * 2001-04-20 2001-05-24 Fawcett, Alan John Method and apparatus for the hardening of photopolymer plates
US6495447B1 (en) * 2001-06-26 2002-12-17 Advanced Micro Devices, Inc. Use of hydrogen doping for protection of low-k dielectric layers
US6468908B1 (en) * 2001-07-09 2002-10-22 Taiwan Semiconductor Manufacturing Company Al-Cu alloy sputtering method with post-metal quench
KR20030005784A (ko) * 2001-07-10 2003-01-23 주식회사 하이닉스반도체 반도체소자의 금속막간 유전체막 형성방법
US6709971B2 (en) * 2002-01-30 2004-03-23 Intel Corporation Interconnect structures in a semiconductor device and processes of formation
JP2004235548A (ja) 2003-01-31 2004-08-19 Nec Electronics Corp 半導体装置およびその製造方法
US20060121723A1 (en) * 2004-12-07 2006-06-08 Chin-Ta Su Semiconductor process and method of fabricating inter-layer dielectric
US20060198008A1 (en) * 2005-03-07 2006-09-07 Micron Technology, Inc. Formation of micro lens by using flowable oxide deposition
JP2008294123A (ja) * 2007-05-23 2008-12-04 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
US7768080B2 (en) * 2007-07-30 2010-08-03 Hewlett-Packard Development Company, L.P. Multilayer dielectric
US8765600B2 (en) * 2010-10-28 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for reducing gate resistance and method of making the same
JP2016207945A (ja) * 2015-04-27 2016-12-08 株式会社東芝 半導体装置および半導体装置の製造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2823878B2 (ja) * 1989-03-09 1998-11-11 触媒化成工業株式会社 半導体集積回路の製造方法
JP2831398B2 (ja) * 1989-09-28 1998-12-02 触媒化成工業株式会社 半導体装置の製造方法
US5145723A (en) * 1991-06-05 1992-09-08 Dow Corning Corporation Process for coating a substrate with silica

Also Published As

Publication number Publication date
JPH07240460A (ja) 1995-09-12
JP2751820B2 (ja) 1998-05-18
US5506177A (en) 1996-04-09

Similar Documents

Publication Publication Date Title
KR0160338B1 (ko) 반도체 장치의 제조 방법
EP0657925B1 (en) Planarization technique for an integrated circuit
US6214719B1 (en) Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6265321B1 (en) Air bridge process for forming air gaps
US4894351A (en) Method for making a silicon IC with planar double layer metal conductors system
KR100265256B1 (ko) 반도체 장치와 그의 제조방법
KR950002948B1 (ko) 반도체 장치의 금속층간 절연막 형성방법
EP1109221B1 (fr) Structure d'interconnexions de type damascène et son procédé de réalisation
US6114186A (en) Hydrogen silsesquioxane thin films for low capacitance structures in integrated circuits
US6040628A (en) Interconnect structure using a combination of hard dielectric and polymer as interlayer dielectrics
MXPA97007616A (en) A method to manufacture an integrated circuit structure inter-levels of low constant dielectr
KR19980064089A (ko) 다공성 유전체 금속화 방법
JPH08255834A (ja) 0.5および0.5以下のulsi回路用の中間レベル誘電体内要素としての水素シルシクイオクサンをベースとした流動性酸化物
KR100382376B1 (ko) 반도체 장치 및 그의 제조방법
US6046104A (en) Low pressure baked HSQ gap fill layer following barrier layer deposition for high integrity borderless vias
US5366850A (en) Submicron planarization process with passivation on metal line
US5567660A (en) Spin-on-glass planarization by a new stagnant coating method
US6232663B1 (en) Semiconductor device having interlayer insulator and method for fabricating thereof
US5930677A (en) Method for reducing microloading in an etchback of spin-on-glass or polymer
EP0790645A2 (en) Intermetal dielectric planarization
US6166427A (en) Integration of low-K SiOF as inter-layer dielectric for AL-gapfill application
US6894364B2 (en) Capacitor in an interconnect system and method of manufacturing thereof
JP2003303880A (ja) 積層層間絶縁膜構造を利用した配線構造およびその製造方法
JP2000306999A (ja) 半導体装置およびその製造方法
JPH11111845A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20030807

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee