JPS63502313A - 半導体基板に分離領域を形成する方法 - Google Patents

半導体基板に分離領域を形成する方法

Info

Publication number
JPS63502313A
JPS63502313A JP62501072A JP50107287A JPS63502313A JP S63502313 A JPS63502313 A JP S63502313A JP 62501072 A JP62501072 A JP 62501072A JP 50107287 A JP50107287 A JP 50107287A JP S63502313 A JPS63502313 A JP S63502313A
Authority
JP
Japan
Prior art keywords
layer
dielectric material
silicon
areas
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP62501072A
Other languages
English (en)
Inventor
カイザー,アレン エルズワース
ムント,ランドル ステイ−ブン
Original Assignee
エヌ・シー・アール・インターナショナル・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エヌ・シー・アール・インターナショナル・インコーポレイテッド filed Critical エヌ・シー・アール・インターナショナル・インコーポレイテッド
Publication of JPS63502313A publication Critical patent/JPS63502313A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 半導体基板に分離領域を形成する方法 この発明は半導体基板のアクティブ領域間に誘電体材料で満たされた分離領域( トレンチ)を形成する如き方法に関する。
背景技術 縦壁を有し二酸化シリコンのような誘電体材料で均一に満たされたトレンチを半 導体基板に形成すること(そのトレンチ面を大体アクティブ領域と同一面にする )は元来量も多くの専門家が意図したことよシ以上に困難であるということが証 明された。特に、幅が異なるトレンチに誘電体を満たすだめの広く適用可能な技 術を開発するために、非常に大きな努力が払われてきた。不幸にして、基板のシ リコンから二酸化シリコン誘電体を成長させる技術は典型的に、単結晶シリコン を二酸化シリコンに変換する際の体積の増加にょシ、最終製品にストレス問題が 発生する。それに対し、二酸化シリコンをデポジットしてトレンチを満たすよう にした技術は狭いトレンチにメイド(空洞)を形成したり、広いトレンチ領域を 誘電体で十分溝たさなかったシ、又は粘性ポリマの使用を含むプレーナ技術がト レンチ形成の後はぼ平坦な基板表面を形成することができない程その混成面の地 勢が平坦でないという問題を生ずる。
この粘性問題を解決するだめの1つの試みは米国特許第4,445,967号に 開示されている。それによると、その第1A図乃至第1F図に示すように、基板 に主トレンチを形成した後、誘電体層が全面デポジットされ、広いトレンチ領域 にホトレジストで選択的にマスクする。露出した誘電体の異方性エツチングと、 その後、後で露出した基板に順に第2の誘電体デポジション及びプレーナ・エツ チングを与える。又、広いトレンチ領域を満たすことに注意が向けられるべきで あシ、狭いトレンチのボイドの形成に対して注意を限定するべきでない。もし、 sbそうなことで、アクティブ領域に隣接するトレンチ領域を選択的に深くする ことはボイドを形成する可能性を更に強調したであろう。
がイドにトラップされた汚染による問題はよく知られている。
発明の開示 この発明はボイドがなく、はぼ平坦な表面の地勢を有し、幅が変化する誘電体で 満たされたトレンチを提供する方法を提供することを目的とする。
従って、この発明によると、基板に相当狭く及び広い幅のトレンチを形成し、前 記トレンチ及びアクティブ領域上に酸化障壁材料を含む第1のコンフォーマル層 を形成し、前記酸化障壁材料上に誘電体材料のエツチング材に耐え、誘電体に変 換しつる材料から成る第2のコンフォーマル層を形成し、大体コンフォーマルな 第3の誘電体材料層をデポジットし、前記アクティブ領域と狭い幅のトレンチと 幅の広いトレンチの周辺領域とから選択的に誘電体材、料を除去し、前記第2の コンフォーマル層の材料を誘電体に変換し、前記選択的に誘電体材料を除去した 領域に前記アクティブ領域のレベルの上のレベルまで第2の誘電体材料を満たし 、前記アクティブ領域のレベルまで基板をプレーナライズする各工程を含み、半 導体基板のアクティブ領域間に誘電体材料で満たされたトレンチを形成する方法 を提供する。
発明を実施するだめの最良の形態 第1図は、まず多くの公知の方法で異方性にエツチングして、夫々のアクティブ 面4,6.7を有するアクティブ領域8,9.11を分離するように作用する狭 いトレンチ領域2と広いトレンチ領域3を形成するようにした単結晶シリコン基 板を表わす。この実施例では、狭いトレンチは4マイクロメートル以下に規定さ れ、4マイクロメートルよシ広いトレンチはすべて広いトレンチとする。基板1 は比較的簡単な熱酸化を受けてPAD酸化物層12が形成される。PAD酸化物 層12は単結晶基板1と後にデポジットした窒化シリコン層13との間にストレ ス救済界面を提供する。好ましくは、温度約1050℃、大気圧、約45分間H 20及び0゜ガス中で熱酸化が行われ、約100ナノメートル厚の大体コンフォ ーマルな熱PAD酸化層を形成する。
PAD酸化物12の成長後、ウエノ・は窒化シリコン層13でコンフォーマリに カバーされる。それは、好ましくは、温度約860℃、圧力的0.25)ル、約 55分間、5iH2Ct2及びNH,の混合物を入れたチャンバにおいて、プラ ズマ・エンハンスドCVDによりデポジットされ、厚さ約100ナノメートルの 層を形成する。
後の説明から十分わかるように、窒化物層13はその後に形成された多結晶又は アモルファス・シリコン層をトレンチ酸化物に変換中、アクティブ領域及びトレ ンチをマスクする酸化障壁として作用する。
第1図は、更に基板が多結晶シリコン層14のコ/、フォーマル・デポジション によって全面的にカバーされることを表わす。好ましくは、ポリシリコン(ポリ )層14は、約35分間、圧力的0.26)ル及び温度約620℃におけるSi H4使用のCVD動作で形成され、厚さ約250ナノメートルの層14を形成す る。Iす層14は固有の多結晶シリコンであることが好ましいが、ドープド多結 晶シリコン又はアモルファス・シリコンを軽くデポジットすることも可能である 。後に十分説明するように、窒化物層13と酸化物層16との間のポリ層14は (その形成は後述する)2つの目的を実行する。第1に、ポリ層14は酸化物層 16のプラズマ・エツチングにおけるエツチング・ストップとして働く。その第 2の容量において、ポリ層14は、後に二酸化シリコンに変換するときに狭いト レンチのディトの形成を防止するシリコンを提供する。
第1図でデポジットされた断面構造を形成するために、基板1に形成された最後 の層はプラズマ・エンノ1ンスドCVD二酸化シリコン層16である。それは、 好ましくは、基板1に対し、約85分間、温度約425℃及び圧力的0.25) ルの反応剤S iHa及び02を施こすことにより、広いトレンチ3の中央領域 のような広い水平面で層約2,000ナノメートル厚を形成する。
それを実行する者に公知のように、プラズマ・エンハンスドCVD酸化物はその デポジションにおいて比較的コンフォーマルであり、第1図の17.18のよう に比較的シャープな角においであるリエントリ又は尖頭特性を表わす。故に、そ れは普通、狭いトレンチにデポジットされる酸化物がまず閉鎖される。例えば、 第1図の19における閉鎖と、それによって形成されたディト21とを見ること ができる。広いトレンチ3の中央領域のCVD酸化物16のレベルはアクティブ 領域面4,6.7上の厚さに近い。大体平坦な最終構造を形成する可能性を改良 するために、広いトレンチ3の深さをC’VD酸化物16の厚さに合致させるの が望ましい。
次に、この発明の好ましい実施例によると、ホトレジストがデポジットされ、そ してホトリソグラフ処理され、広いトレンチ3の中央領域のCVD酸化物16上 に22のような選ばれたマスク・セグメントを保有する。アクティブ領域8,9 .11.狭いトレンチ領域2、及び広いトレンチの周辺領域3上にレジストがな いことに注目しよう。
そこで、基板1はホトレジスト・マスク22の存在下で異方性酸化物エツチング 23を受け、大体すべてのマスクされていないCVD酸化物16を除去する。そ れは、好ましくは、約50分間、電圧約1,000ワツトを使用し、温度約30 ℃及び圧力的0.03)ルにおいてCHF 及び02のプラズマで行われる。シ リコン層14は、それが固有ポリ、ドープド・ポリ又はアモルファス・シリコン で構成されているかいないかに拘わらず、有効な酸化物エツチング・ストップと して作用する。
第1図で説明したプラズマ23によるCVD酸化物16のエツチングに続き、ホ トレジスト・マスク22を使用した従来の溶剤ストリッ・ゾによシ第2図の構造 にされる。その断面図において、ホトレジスト22(第1図)の下に形成されだ CVD酸化物16はそのままに残され、その他の酸化物層16のすべてにおいて 残されたものは場所24.25.26.27におけるわずかな側壁部分である。
この後者は反応イオン・エツチングの方向における相対的な酸化物16の厚さが 厚いことによって残される。それは特に、ポリ層14は高度に有効な酸化物エツ チング・ストップとして作用し、原地勢近くまでエツチングされた広いトレンチ 3の周辺領域28.29及び狭いトレンチ2に戻すことになる。
第2図の断面図を形成する異方性エツチングの後に、基板は約320分間、約1 気圧で及び温度1150℃において、好ましくはH2O及び0□から成る他の酸 化状態を受け、ポリシリコン層14を二酸化シリコンに変換する。それで生じた 代表的な構造は大体第3図の如くなる。ポリ14(第2図)の二酸化シリコンへ の変換中、公称2:1だけ体積が増加し、底部から側部に対し、狭いトレンチ領 域及び周辺領域に部分的に誘電体が満たされ、前の残留CVD酸化物24,25 .26゜27(第2図)と混合する。望ましくないシリコン基板1の酸化は窒化 物層13のマスク効果で防止される。
酸化の結果、第3図の断面は各種傾斜されたチーツク状凹部31,32とアクテ ィブ領域面4.6.7の平面の上のレベルまで立上ったトレンチ領域33の存在 を表わす。凹部31は狭いトレンチ領域2に対応し、凹部32は広いトレンチの 周辺に対応する。そのように調製された形状と比較的浅い凹部31,32が与え られ、すべてのトレンチ領域に対し、プレーナライズのだめに適当なレベルまで 酸化物で満たすことが可能となった。
酸化物で満たすこと及びグレーナライゼーションを完成させるため、第3図の構 造は、次に、第2のCVDポリシリコン・コンフォーマル・デポジションヲ受ケ るのが好ましい。そのデポジションは前に説明した方式に従って公称深さ約25 0ナノメートルのポリシリコン層を形成する。デポジションはどのような従来の 方式を使用して行ってもよく、前に提案したように、軽くドープしたポリ又はア モルファス・シリコンを使用してよい。その後、シリコンは第4図の構造に進む ため、熱酸化によって二酸化シリコン誘電体に変換される。それは、CVD酸化 物又は他の容易にプレーナライズすることができる誘電体によって直接凹部31 ゜32を満たすこともできる。再び、窒化物層13は、望ましくないシリコン基 板1の変換を禁止する酸化障壁を提供するということに注目しよう。
第4図は、すべてのトレンチ及び凹部を満たすボイドのない断面を示し、アクテ ィブ領域面4,6.7の平面の相当上のレベルを有する二酸化シリコン34を形 成する。そこで、第4図の構造は各種公知の方式の1つを使用してプレーナライ ズされる。好ましくは、プレーナライズの最後の段階では、スピニオン・プレー ナライジング・ポリマ36の使用と、大体等しい速度でポリマ36と露出した酸 化物34とをエツチングするプラズマを使用したエツチング・パックとを含む。
このエツチングは、アクティブ領域面4.6.7の上の窒化物層14が露出する まで続けられる。その後、1:1窒化シリコン対二酸化シリコン・エツチングが 使用され、表面4,6.7の上の窒化シリコン・キャップを除去し、その後、等 しい速度でPAD酸化物12及び酸化物34を除去するよう変更される。その結 果の構造は第5図に示す。第5図の完成構造は、トレンチとアクディグ領域の面 が大体共通平面上にあるようにアクティブ領域8,9.11を分離する誘電体で 満たされた狭いトレンチ2と広いトレンチ3とを含む。
その後、アクティブ装置は多くの普通の方式の1つを使用して形成することがで きる。
第4図の構造からのエツチング・パックはプレーナライジング・ポリマを使用し て行われ、電力約1000ワツト、圧力約0.04)ル、温度約50℃において 、CHF3及び02から成るエツチング・プラズマを使用して行うのが好ましい 。各種層を通して行われる1:1工ツチング速度を確保するため、ガスの構成は 02の流速を4 Q seem乃至5 secmの4つの変化量だけ変化するこ とによって変更するのが望ましく、その間CHF3の流量比は約75 secm に維持される。
この発明は、各種層のトレンチが容易に満たされ、熱酸化物を使用してプレーナ ライズされるトレンチ酸化物分離製造方法を提供し、狭いトレンチに空洞ができ るのをほとんど防止するということがわかった。この発明は、歩止シを高め、コ ストを下げると共に、きわどい製造工程や多数のマスク工程を防止するというこ ともわかった。ポリ層14の重複的役割を特に注目すると、第一に、CVD酸化 物の選択的除去中エツチング・ストップとして作用し、第二に、狭いトレンチ及 び広いトレンチの周辺を部分的に満たすシリコン源として作用することである。
ポリをエツチング・ストップとして使用することは酸化物エツチング動作中終了 点検出に苦労する必要がないということを意味する。
更に、ホトレジスト22の整列が重大でなく、不整列は単に領域28.29の幅 を変化するのみで、それら領域は、それでもなお、狭いトレンチの特性を維持し 、その後、ポリシリコン層14の変換及び第2の酸化形成工程によって満たされ る。
以上の利益に加え、ポリ層14及び酸化物層16の寸法はホトレジスト22の形 成と共に整列許容誤差のために調節することができる。それらの規定を変えうる 能力はこの発明の使用者に意図した適用に対する特徴の特定化で大きな自由度を 提供するものである。
図面の簡単な説明 第1図は、種々のデポジットされ、・母ターン化された層でカバーされたアクテ ィブ及びトレンチ領域を有する基板の断面図である。
第2図は、露出した酸化物の異方性エツチングを行う第1図の基板の断面図であ る。
第3図は、ポリシリコンの熱酸化を行う基板の断面図である。
第4図は、第2の酸化物形成を行う基板の断面図である。
第5図は、プレーナライズする基板の断面図である。
FIG、 1 FIG、 3 FIG、 5 1閣 腔 !1 審 謡 失 +II+m−v−11−+aesrawmN@、PCT/US87100150 ?、:rNEXToTHE!NTERNAT:OkL;、l+5ZtsRCSミ p、:poR:ON

Claims (9)

    【特許請求の範囲】
  1. 1.半導体基板(1)のアクティブ領域(8,9,11)間に誘電体材料で満た されたトレンチを形成する方法であっで、前記基板に比較的狭い及び広いトレン チ(2,3)を形成し、前記トレンチ(2,3)及びアクティブ領域(8,9, 11)上に酸化障壁材料を含む第1のコンフォーマル層(13)を形成し、前記 酸化障壁材料の上に誘電体材料のエッチング剤に対するレジスタントであり誘電 体に変換可能である材料から成る第2のコンフォーマル層(14)を形成し、誘 電体材料の大体コンフォーマルな第3の層(16)をデポジットし、アクティブ 領域(8,9,11)、狭いトレンチ(2)及び広いトレンチ(3)の周辺領域 (28,29)から誘電体材料(16)を選択的に除去し、第2のコンフォーマ ル層(14)の材料を誘電体に変換し、前記アクティブ領域(8,9,11)の レベルの上のレベルまで前記選択的に除去した誘電体材料の領域を第2の誘電体 材料で満たし、前記アクティブ領域(8,9,11)のレベルまで前記基板(1 )をプレーナライズする各工程を含む誘電体材料の形成方法。
  2. 2.前記誘電体材料(16)の選択的除去工程は前記広いトレンチ(3)の中央 領域の上にマスク(22)を形成し、前記マスク(22)の存在下で前記誘電体 材料(16)を異方性にエッチングする工程を含む請求の範囲1項記載の方法。
  3. 3.前記誘電体材料(16)の異方性エッチングは狭い領域(2)及び広い領域 (3)の周辺領域の内角の内側に残留するものを除き、すべでの縦に露出した誘 電体材料を除去しで終了する請求の範囲2項記載の方法。
  4. 4.前記第2のコンフォーマル層(14)の材料は誘電体材料に変化したときに 体積が膨張しで狭いトレンチ(2)及び広いトレンチ(3)の周辺領域を誘電体 で部分的に満たすようにした請求の範囲2項又は3項記載の方法。
  5. 5.前記第2のコンフォーマル層(14)の材料を変換する工程は熱酸化で行わ れる請求の範囲4項記載の方法。
  6. 6.前記選択的に誘電体材料を除去した領域を第2の誘電体材料で満たす工程は シリコン層を形成し、該シリコン層を熱酸化する工程を含む請求の範囲5項記載 の方法。
  7. 7.前記選択的に誘電体材料を除却した領域に第2の誘電体で満たす工程はCV D二酸化シリコン層のデポジションを含む請求の範囲5項記載の方法。
  8. 8.前記酸化障壁材料(13)は窒化シリコンから成り、前記第2のコンフォー マル層(14)は多結晶シリコン又はアモルフアス・シリコンから成り、前記第 3の大体コンフォーマル層(16)はCVD二酸化シリコンから成る請求の範囲 6項記載の方法。
  9. 9.前記アクティブ領域のレベルまで基板をプレーナライズする工程は前記熱酸 化シリコン層の上にプレーナライズするポリマー層(36)を形成し、前記アク ティブ領域(8,9,11)の平面に対し前記ポリマー(36)及び熱酸化シリ コンを対応する速度でエッチングし、前記アクティブ領域(8,9,11)の上 かち窒化シリコン層(13)を除去する各工程を含む請求の範囲8項記載の方法 。
JP62501072A 1986-02-05 1987-01-27 半導体基板に分離領域を形成する方法 Pending JPS63502313A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US826,402 1986-02-05
US06/826,402 US4671970A (en) 1986-02-05 1986-02-05 Trench filling and planarization process

Publications (1)

Publication Number Publication Date
JPS63502313A true JPS63502313A (ja) 1988-09-01

Family

ID=25246444

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62501072A Pending JPS63502313A (ja) 1986-02-05 1987-01-27 半導体基板に分離領域を形成する方法

Country Status (4)

Country Link
US (1) US4671970A (ja)
EP (1) EP0258311B1 (ja)
JP (1) JPS63502313A (ja)
WO (1) WO1987004856A1 (ja)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2566914B2 (ja) * 1985-12-28 1996-12-25 キヤノン株式会社 薄膜半導体素子及びその形成法
JPH0782996B2 (ja) * 1986-03-28 1995-09-06 キヤノン株式会社 結晶の形成方法
JP2662396B2 (ja) * 1986-03-31 1997-10-08 キヤノン株式会社 結晶性堆積膜の形成方法
GB2200794A (en) * 1986-11-19 1988-08-10 Plessey Co Plc Semiconductor device manufacture
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
US5010039A (en) * 1989-05-15 1991-04-23 Ku San Mei Method of forming contacts to a semiconductor device
US5173439A (en) * 1989-10-25 1992-12-22 International Business Machines Corporation Forming wide dielectric-filled isolation trenches in semi-conductors
DE69004932T2 (de) * 1989-10-25 1994-05-19 Ibm Verfahren zur Herstellung breiter mit Dielektrikum gefüllter Isolationsgraben für Halbleiteranordnungen.
KR920020676A (ko) * 1991-04-09 1992-11-21 김광호 반도체 장치의 소자분리 방법
US5208170A (en) * 1991-09-18 1993-05-04 International Business Machines Corporation Method for fabricating bipolar and CMOS devices in integrated circuits using contact metallization for local interconnect and via landing
US5190889A (en) * 1991-12-09 1993-03-02 Motorola, Inc. Method of forming trench isolation structure with germanium silicate filling
US5254873A (en) * 1991-12-09 1993-10-19 Motorola, Inc. Trench structure having a germanium silicate region
US5331116A (en) * 1992-04-30 1994-07-19 Sgs-Thomson Microelectronics, Inc. Structure and method for forming contact structures in integrated circuits
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
JP3022689B2 (ja) * 1992-08-31 2000-03-21 日本電気株式会社 バイポーラトランジスタの製造方法
US5663107A (en) * 1994-12-22 1997-09-02 Siemens Aktiengesellschaft Global planarization using self aligned polishing or spacer technique and isotropic etch process
US5904539A (en) * 1996-03-21 1999-05-18 Advanced Micro Devices, Inc. Semiconductor trench isolation process resulting in a silicon mesa having enhanced mechanical and electrical properties
JP2000508474A (ja) * 1996-04-10 2000-07-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 改善された平坦化方法を伴う半導体トレンチアイソレーション
US5926713A (en) * 1996-04-17 1999-07-20 Advanced Micro Devices, Inc. Method for achieving global planarization by forming minimum mesas in large field areas
US5899727A (en) 1996-05-02 1999-05-04 Advanced Micro Devices, Inc. Method of making a semiconductor isolation region bounded by a trench and covered with an oxide to improve planarization
US5776660A (en) * 1996-09-16 1998-07-07 International Business Machines Corporation Fabrication method for high-capacitance storage node structures
TW334614B (en) * 1997-03-04 1998-06-21 Winbond Electronics Corp The method of forming shallow trench isolation
DE59808090D1 (de) 1997-09-24 2003-05-28 Infineon Technologies Ag Verfahren zur ausbildung einer grabenstruktur in einem siliziumsubstrat
US6479368B1 (en) 1998-03-02 2002-11-12 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device having a shallow trench isolating region
US6146970A (en) * 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6528389B1 (en) * 1998-12-17 2003-03-04 Lsi Logic Corporation Substrate planarization with a chemical mechanical polishing stop layer
US6436839B1 (en) * 1999-06-01 2002-08-20 Taiwan Semiconductor Manufacturing Company Increasing programming silicide process window by forming native oxide film on amourphous Si after metal etching
US6277710B1 (en) 1999-11-15 2001-08-21 Chartered Semiconductor Manufacturing Ltd. Method of forming shallow trench isolation
TW444274B (en) * 2000-03-23 2001-07-01 Mosel Vitelic Inc Improvement method for dishing effect in the polysilicon film deposited on the trench
US6602759B2 (en) * 2000-12-07 2003-08-05 International Business Machines Corporation Shallow trench isolation for thin silicon/silicon-on-insulator substrates by utilizing polysilicon
KR100428805B1 (ko) * 2001-08-09 2004-04-28 삼성전자주식회사 트렌치 소자분리 구조체 및 그 형성 방법
EP1573801A1 (en) * 2002-12-19 2005-09-14 Advanced Micro Devices, Inc. Trench isolation structure for a semiconductor device with a different degree of corner rounding and a method of manufacturing the same
DE10259728B4 (de) * 2002-12-19 2008-01-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Grabenisolationsstruktur und Verfahren zum Steuern eines Grades an Kantenrundung einer Grabenisolationsstruktur in einem Halbleiterbauelement
JP4967066B2 (ja) * 2010-04-27 2012-07-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
US8758659B2 (en) 2010-09-29 2014-06-24 Fns Tech Co., Ltd. Method of grooving a chemical-mechanical planarization pad
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8506828B1 (en) 2011-06-28 2013-08-13 Western Digital (Fremont), Llc Method and system for providing a magnetic recording transducer using an ion beam scan polishing planarization
US8480911B1 (en) 2011-06-30 2013-07-09 Western Digital (Fremont), Llc Method and system for providing a read sensor in a magnetic recording transducer using focused ion beam scan polishing
US20150087134A1 (en) * 2013-09-20 2015-03-26 Globalfoundries Inc. Semiconductor isolation region uniformity
KR102442309B1 (ko) 2015-07-09 2022-09-13 삼성전자주식회사 소자 분리 구조의 형성 방법
US10844167B2 (en) * 2016-03-09 2020-11-24 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film and method for forming resist pattern using same
TWI729457B (zh) * 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
KR20190067939A (ko) 2016-11-08 2019-06-17 어플라이드 머티어리얼스, 인코포레이티드 패터닝 응용들을 위한 상향식 필러들의 기하형상 제어
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US10410910B1 (en) * 2018-08-20 2019-09-10 Nanya Technology Corporation Method for preparing semiconductor structures
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0061855B1 (en) * 1981-03-20 1985-08-14 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US4493740A (en) * 1981-06-01 1985-01-15 Matsushita Electric Industrial Company, Limited Method for formation of isolation oxide regions in semiconductor substrates
DE3279874D1 (en) * 1981-08-21 1989-09-14 Toshiba Kk Method of manufacturing dielectric isolation regions for a semiconductor device
JPS5848936A (ja) * 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
US4385975A (en) * 1981-12-30 1983-05-31 International Business Machines Corp. Method of forming wide, deep dielectric filled isolation trenches in the surface of a silicon semiconductor substrate
JPS58132946A (ja) * 1982-02-03 1983-08-08 Toshiba Corp 半導体装置の製造方法
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
JPS5961045A (ja) * 1982-09-29 1984-04-07 Fujitsu Ltd 半導体装置の製造方法
JPS59124141A (ja) * 1982-12-28 1984-07-18 Toshiba Corp 半導体装置の製造方法
US4571819A (en) * 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures

Also Published As

Publication number Publication date
EP0258311B1 (en) 1991-06-12
WO1987004856A1 (en) 1987-08-13
US4671970A (en) 1987-06-09
EP0258311A1 (en) 1988-03-09

Similar Documents

Publication Publication Date Title
JPS63502313A (ja) 半導体基板に分離領域を形成する方法
US4604162A (en) Formation and planarization of silicon-on-insulator structures
US5872052A (en) Planarization using plasma oxidized amorphous silicon
US4892614A (en) Integrated circuit isolation process
US4946550A (en) Forming electrical connections for electronic devices
EP0252450B1 (en) Integrated circuit isolation process
JP3619597B2 (ja) 半導体装置の絶縁膜形成方法
US6265317B1 (en) Top corner rounding for shallow trench isolation
US5084408A (en) Method of making complete dielectric isolation structure in semiconductor integrated circuit
US6177331B1 (en) Method for manufacturing semiconductor device
US20010026994A1 (en) Method for forming element isolating region
JPH03291921A (ja) 集積回路製作方法
JPH06140501A (ja) 半導体装置およびその製造方法
JPH01290236A (ja) 幅の広いトレンチを平坦化する方法
US5384281A (en) Non-conformal and oxidizable etch stops for submicron features
JP2956602B2 (ja) ドライエッチング方法
US6458284B1 (en) Method of etching and etch mask
JP3204316B2 (ja) 半導体装置の製造方法
JPS5916334A (ja) ドライエツチング方法
JPH04211120A (ja) コンタクトの形成方法およびそれを用いた半導体装置の製造方法
WO1993010559A1 (en) Process for fabricating insulation-filled deep trenches in semiconductor substrates
JPH0642510B2 (ja) 半導体構造の形成方法
JP2669724B2 (ja) 半導体装置の製造方法
JPH02148760A (ja) 半導体装置およびその製造方法
JPH0478013B2 (ja)