JPS5959347A - 研磨操作のための工作物ホルダ - Google Patents

研磨操作のための工作物ホルダ

Info

Publication number
JPS5959347A
JPS5959347A JP58029636A JP2963683A JPS5959347A JP S5959347 A JPS5959347 A JP S5959347A JP 58029636 A JP58029636 A JP 58029636A JP 2963683 A JP2963683 A JP 2963683A JP S5959347 A JPS5959347 A JP S5959347A
Authority
JP
Japan
Prior art keywords
insert
carrier
wafer
workpiece
flatness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP58029636A
Other languages
English (en)
Other versions
JPH0335063B2 (ja
Inventor
ウイリアム・デイ−・ブデインガ−
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JPS5959347A publication Critical patent/JPS5959347A/ja
Publication of JPH0335063B2 publication Critical patent/JPH0335063B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02013Grinding, lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Constituent Portions Of Griding Lathes, Driving, Sensing And Control (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 発明の背組 平坦な工作物をラップ仕上用または研磨用土ャリアに固
定するには、従来、何らかの接着利を用いるのが普通で
あった。例えば、1つの方法として、キャリアの表面に
ワックス溶液のり1常に薄く層をスピンコーチングによ
り槓杭する方法がある。
その場合、溶IR?、を蒸発し、−J1゛常に平1n1
度の高いワックスの薄膜が残される。このワックスの表
面上に例えばウェハなどの工作物を位置づけし、加熱す
れば、ワックスによって画定される平坦な基準平面を歪
めることなく工作物を所定位置に保持するのに十分な結
合力が得られる。この方法は他の大抵の接着方式より優
れてはいるが、加工終了後ワックスを除去するのが困I
!IIIであること、作業者の熟練依存度が高いこと、
塵埃、粉塵、その他の汚染に対する許容度が低いなどの
欠点がある。
現在ではワックス数句法を改良した多くの方法が用いら
れているが、大抵の場合、キャリアを工作物の裏面に何
着する何らかの材料で被覆する。
そのような材料は、表面の粘着性によって41着するも
のと、スポンジ状で、毛管作用または吸引作用によって
付着するものとの2種類に分けられる。
そのような方式の一例は、米国特許第3.449.87
0号および4.132.037号に記載されている米国
特許第3.449.870号に記載された方式では、工
作物に対する追加の保護および安全を与えるために工作
物を保持フェンスで囲繞する。このフェンスは、ウェハ
をギヤリアの下面から滑り出ることがないように所定位
置に保持するのに必要なものと考えられる。
上記米国特許に開示されているようなワックス無し取付
法は、操作の便と掃除の便の両面において重要な改良を
もたらした。しかしながら、この改良は、最終部品にお
ける平面度を犠牲にして得られた轡のにすぎない。産業
界、特にエレクトロニクス業界は、常に、より平面度の
高い部品を求めているので、上述した工作物取何方式は
、いずれに固鳴の難点があり、不満足なものである。
「ワックス無し」方式による場合の平面度の低下の主な
原因は、裏当即ち取付材料の精度欠落であるAエレクト
ロニクス産業は、今日、直径150mmの部品に1μ以
内の平面度を必要とするよう表面に当接させて固定しな
りjればならない。しかし、ワックス無し取付法に使用
される取付材料は、そのような精度に形成するのは、経
済的にみて、あるいは実用上からみて不可能である。そ
のような取付材における精度の欠落は、取付材自体の柔
軟性または圧縮性によっである程度補イdすることがで
きる。しかしながら、複数個のウェハを柔軟な、または
圧縮自在の取付材を用いて単一のキャリアに取付けた場
合、うねり作用が生じ、最終仕上り部品の平面度が著し
く損われる。また、それらのウェハを固定位置に保持す
るようにしたとすると、取付材自体のばらつきが、最終
的に仕上り部品の平面度に反映されてしまう。
本発明は、上述の問題点を解決することを企図したもの
であり、シリコン、ガラスまたはその他の材料でできた
平坦な部品に研削、ラップ仕上または研磨などの加工を
施す間できつる限り平面度の高い表面を得るためにそれ
らの平坦な部品をそれぞれ独立して取付けるための手段
を提供する。
発明の概要 本発明は、研磨、ラップ仕上および研削加工のための工
作物ホルダに関する。このボルダは、回転自在のキャリ
アと、キャリアの一方の面に脱着自在に固定される平坦
な型板とを備えている。型板には複数の貫通穴が設けら
れており、それらの穴の各々が、それと隣接する上記キ
ャリアの面と協同して空洞を画定するようになされてい
る。各空洞内に挿入体を脱着自在に装着する。各挿入体
は、処理すべきウェハ即ち工作物を脱着自在に取付ける
ためのflf体である。この積層体とウェハの総厚みは
型板の厚みを越えるのでウェハは型板の穴から突出した
状態となる。
本発明の目的は、各ウェハを同じキャリア上に自由に移
動しつるように、そしてそれぞれ他のウェハとは独立し
た態様で固定することにある。
本発明の他の目的は、各ウェハをそれぞれの個別空洞内
に自由に浮動しつるように取付け、それによって取付材
のばらつきゃ(製品むら)や凹凸の影響を受けないよう
にすることである。
本発明の更に他の目的は、製造費の高い型板組立体を交
換する必要なしにウェハの安価な裏当材だけを容易に交
換することができるようにすることである。
本発明の更に他の目的は、各工作物憂その都度ワックス
で取付ける必要なしに、ワックス取何方式を用いた場合
と同様の平面度が得られるようにすることである。
本発明の更に他の目的は、使用される裏当材の平面度ま
たは精度より優れた平面度を最終製品にもたらすような
経済的な、融通性のある取付装置を提供することである
添付図を参照すると、キャリア12の一方の面に接着側
層14によって脱着自在に固着された平坦な型板10が
示されている。型板1oには、複数の貫通穴16が等間
隔をおいて設けられている。
各穴16は築隣接するキャリア12の面と協同して空洞
を画定する。キャリア12の洛空洞の部分には接着材層
14を被着させてない。
各空洞に同一の挿入体18を設ける。各挿入体18は、
裏当材20と、取付材24と、両者の間に介在し両者を
結合する接着材層22とによって構成する。工作物26
は、この取付材24に脱着自在に接合させる。挿入体1
8と工作物26との合計の厚さは、型板10と接着材J
i14との合計の厚さより大きいので、工作物26は、
それと隣接する型板10の露出面を越えて突出する(第
2図参照)。キャリア12は、スピンドル30上で軸受
26の回りに回転自在とする。
例  1− 各々直径5 iH(76,2m?7Z)  、厚さ00
20in (,0,508mm)の3個の挿入体18を
保持するための取付組立体(10,18)を作り、直径
8 In (20,3cm)  の円形キャリア12に
固定した。キャリア12は、0.5μ以内の平面度にま
でラップ仕上げし、表面を硬くし、腐食を防止するため
にクロームを蒸着した鋳鉄によって製造した。
型板10は、0.050量n (1,27mm)の厚さ
の、一般にG−10と称されるガラス繊維入りエポキシ
のシートから形成した。このG−10製の直径81n(
20,3α)の円板に、各々直径五〇50±0.005
1n(7747±0.127 mm)の3つの穴16を
機械加工によって形成した。これらの穴は、型板10の
円周方向に等間隔に配置した。穴16はパンチで打抜く
か、あるいはレーザで切抜くこともできるが、機械加工
によって穿設した場合、穴の縁が工作物なその研磨中よ
り確実に保持することが認められた。
型板10は、適当な接着材層14によってキャリア12
に固着させた。接着材としては、3M社のA383のよ
うなホットメルトフェノール樹脂が良好であり、型板を
交換する場合、熱またはアセトンにより容易に軟化させ
除去することができるという点で好都合である。型板の
摩耗度は操作条件によって大きく異るが、1000回以
下の使用回数で型板を交換しなりればなら7よくなるこ
とも珍しくはない。型板10をキャリア12に固定する
場合、接着材層14が型板10の穴16によって画定さ
れる部分のキャリア12上(こ残らなし1ようにするこ
とが肝要である。
最後に、挿入体18を形成した。即ち、G−10製の円
板を正確に直径3.025 in (7’6.8 mm
)に機械加工し、0.020 in (0,508mm
)の呼び厚さにまで平坦にラップ仕上げして裏当材20
を形成した。この円板即ち裏当材の一方の面に、0.0
15in(0,381mm)厚さ軟質シリコーンゴムか
ら成るフィルム状の取(q利24を接合した。
このゴム状フィルムは、80℃以下の温度で十分に粘着
性を生じ、研磨1べき工作物26に堅く接着する。10
0℃以上の温度ではこのゴム状フィルムの接着°l′J
:け減少し、工作物を容易に外すことができる。
この例の工作物ホルダは、工作物26として集積回路の
基板にするだめのシリコンウニノ1を研磨するのに用い
た。まず、工作物のウニノーを鋸引きし、ラップ仕上げ
し、蝕刻した。6枚のウニノ・を本発明の工作物ホルダ
に取付けた。これらの3枚(7) T7 :r−/’N
は、はぼ同じ0.020 in (0,508mm)の
厚さであった。各ウェハe1s’cのプレス内で8 p
si (0,56kFI/ cm”  )の圧力で30
秒間取付材24に圧接することによって挿入体18に接
合させた。次いで、このウニハエ作物26を取付けた挿
入体18を上向きにした型板10内の予備湿潤させた空
洞内へ上から落下させた。ウェハを取付けた挿入体は、
空洞内の水分の表面張力により所定位置に保持されるの
で、そのままキャリア12を逆さにして下向きにし、研
磨機のスピンドル60に取付けた。次いで、慣用の態様
でウェハを研磨した。
、研磨した後、ウェハおよび挿入体を軽く空気を噴射す
ることによって空洞から取外した。ウェハを取付けたま
まの挿入体を型板の各空洞から吐出させるための別法と
して、小さいピン型プランジャを各空洞の裏側に位置す
るようにキャリア12内に組込んでおき、そのプランジ
ャを突出することによって挿入体を空洞から吐出させる
ようにしてもよい。次いで、ウェハ付挿入体を湯の中へ
落し、シリコーンゴムから成る取付材24とウエハの間
に小さなブレードを割込ませること番こよってウェハを
挿入体18から簡単(こ剥離させた。
挿入体1日の裏当材に用いた材料C)、クロームめっき
されたキャリア12の表面番こ対1−る摩擦係数は、工
作物即ちウニノ126σ)、取付相2440対する摩擦
係数より低くなるよう番こ選定した。従って、ウェハの
ラップ仕上げまたは研磨操4’F中、ウェハ26と挿入
体18は、一体とし゛C型板の空洞内でキャリア12に
対して自由に回転すること力(できた。
この例の取付方式によって(σ1磨されたウニノ・6よ
、研磨後付着物を容易に浄化すること力(でき、長女f
な平面度特性を示した。
帆−マー 最終製品の平面度を更に高める試みとして、厚さ001
0ミル(0,’254μ)のG−10裏当材20に代え
て、超平面状にラップ仕」二げした。−北記裏当材より
厚い、寸法的に安定したセラミック製裏当材を使用した
。しかし、この寸法的Gこ安定した挿入体に取付けて研
磨したウニノ1は、例1σ)場合に比べてその平面度は
殆んど向上しな力)つた。
例  6 例1の取付組立体(1o、1日)におし)で、取付材2
40)シリコーンゴムフィルレム番01(えて、高度可
塑化ビニル層を用いた。このビニル材at、ウェハを挿
入体に120℃の温度で圧着さセ°、挿入体から10℃
の温度で剥取った点を除し)で&ま、先のシリコーンゴ
ムフィルムの場合と同じ態様で用いた。この例に従って
研磨したウエノ1番マ、例1の場合に比べて幾分高い(
良好な)平面)貨力(イ尋られた。これは、シリコーン
ゴムフィルムよリモ、ビニル層の方が、研磨操作中に生
じる熱しこよって流動せしめられる度合が高く、ビニル
層自体の門[5が最終製品(ウニノ・)に得られる平面
度に及Gfす影響が小さいためであると考えられる。換
言1゛れば、ビニル層を含む挿入体18は、最1g製品
N菊に得られる平面度に及ぼす影響としAう点てより「
中立性」が高い。
、例 4 挿入体18の上記「中立性」を更Gこ高めるために(即
ち、挿入体が最終製品に得られる平面度に与える息い影
響を少くするために)、取イ]材24(シリコーンゴム
またはビニル)を、容積圧縮性材料の薄いシートから成
るものに代えた。この目的のために、米国特If1′第
!l、 483.015号の教示に従って作ったフィル
ム状シートを厚さ00151n (0,S 81mm)
にまで分割し、3M社の583接着材を用いてG−10
製裏当利20に接合した。
この例の取付組立体に取付けて研磨したウニノ・は、先
の例1〜6の場合よりも優れた平面度を示した。
ある種の研磨機またはランプ盤においては、キャリア1
2を持上げたとき、挿入体1日が確実に空洞内に保持さ
れるのを保証するだめの手段を設けることが望ましい場
合がある。そのための1つの方法は、挿入体18の裏面
とキャリア12の面との間に少量の粘着力の強い、高粘
性の液体を介在させることである。その目的のために、
高分子鼠グリコールならびに低分子量ニジストマーを試
した。工作物26と挿入体18の面との摩擦力が、挿入
体に対する工作物の移動または回転を許すような摩擦力
であるならば、必ずしも挿入体自体は空洞内で回転しつ
るように構成する必要はない。
例  5 この例では、挿入体18を空洞の底部のギヤリア12の
露出表面に仮付けにより接合させた。この目的のために
感圧接着材を用いた。
型板10は、例1の場合と同様に0.0501n(0,
762mm )にまでラップ仕上げし、機械加工により
穴16を穿設し、キャリア12に取付けた。次いで、型
板10を上にしてキャリア12をオーブン内に入れ、1
50℃の温度にまで加熱した。20%のポリプロピレン
グリフールを含有した、正確に1グラムのペンタリンC
(商標名)#脂即ちワックスを各空洞の中央部に置いて
溶融させた。次いで、各空洞内に例4で用いたのと同様
の、ただしG−10i4裏当材を除いた、3025in
(76,8mm)径のフィルム円板状の挿入体18を挿
入した。各挿入体即ちフィルム円板18の上面に、直径
5 in (76,2mtn)、厚さ0020in (
0,508myn)の研削された超平坦なシリコンウェ
ハを載ぜた。次いでこの組立体全体を上記ワックスが溶
融状態にあって暖い間にプレス内に入れて20℃にまで
冷却した。プレスの圧力は50 lb  (22,5に
!、)の下向き力が得られるように調節した。5分後そ
の組立体をプレスから取出し、ウェハをフィルム円板か
ら外して空洞から取出した。
この時点ではフィルム円板18は、上記ワックスにより
各空洞の底面(即ちキャリア)に堅く接合されていた。
ウェハの超平坦面をフィルム円板18に圧接させたこと
によりワックスが流動せしめられキャリア12と挿入体
1日との間の凹凸を埋めていた。次に、フィルム円板1
8を水で湿し、ウェハを再び挿入し、先に述べた例の場
合と同様にして研磨した。約20〜25回研磨操作を行
った後、フィルム円板18は、最終製品(ウェハ)の平
面度を劣化させるほどに摩耗または汚染されていること
が認められた。この時点で、ギヤリアを150℃(即ち
、ワックスを溶融させるのに十分な温度ではあるが、型
板10をキャリア12に接合している接着材を溶/a 
シない温度)にまで再加熱することによって円&18を
外して、新しい円板18を最初のものと同様の態様で空
洞に挿入した。この例の重要な利点は、ギ、・ヤリア1
2に対する挿入体18のワックスによる接合におい′C
該挿入体を所定位置に圧着(プレス)させるのに用いら
れる超平坦なウェハ(工作物)がワックスおよびフィル
ム円板を所定rPJi部」内へ流動させ、挿入体および
キャリアの面の凹凸を補償することである。この例に従
って取付けたウェハは、研磨操作によって極めて高い平
面度が得られた。
特に留意すべきことは、超平炬なブランク(ウェハ)を
用いて、工作物(ウェハ)に作用すると予測される研磨
圧にほぼ等しい圧力を加えてフィルム状挿入体18を空
洞の底面(キャリア′)に座着させた場合、研N操作に
おいて最も平坦なウェハが得られたことである。この取
付方式においては、フィルム円板が、キャリアに取付け
られる際、研磨操作のときと同様に圧縮される。従って
、ワックスが流動すると、自動的にフィルム円板とキャ
リアとの間に分配されて凹凸を埋め、その結果、フィル
ム円板がブランク(ウェハ)の全面に亘って均ブな圧力
を及ぼすことができる。ワックスが硬化し、工作物(ウ
ェハ)を挿入体に取付けると、工作物は、自動的に圧力
を均一化する状態を追求することになる。この状態は、
工作物が取付用の上記超平坦なウェハブランクと同じ平
面度を得たときにはじめて達成される。
例1〜4におりる挿入体の裏当材20としてG−10の
代りに他のいろいろな材料を用いることができる。例え
ばポリカーボネート、ポリウレタン、アセタール、フェ
ノール樹脂、ポリエステル、ポリ塩化ビニル、ポリ酢酸
ビニル等のプラスチック、および金属シム材のシートな
ども、平坦で、研磨剤との適合性が良いものであれば、
使用することができる。同様に、型板10のための素材
についても、G−10の代りに、上述したプラスチック
などの他の材料を用いることができる。ただし、型板1
0の素材として金属材を使用するのは適当ではない。な
ぜなら、金属材は、摩耗率が高く、硬いために工作物の
縁な欠いCしまうおそれがあるからである。
最終製品に得られる平面度に及ぼされる影響という点で
は、取付材14が最も重要な要素である。
この取付材層は、工作物26の裏面に直接接触させるよ
うにした場合に最も効果的に使用しつることか確認され
た。例4の取fI材は、その密度を減少させることによ
って更に好結果が得られた。また、例4の取付材は、そ
の表面をサンドブラスト処理して、その気孔を露出させ
、表面の圧縮弾性率を低下させることによっても良い結
果が得られることが誌められた。いろいろな種類の原器
性材料に関して実験した結果、以下に述べる幾つかの特
性が、最終製品の平面度を高める上で特に重要であるこ
とが判明した。
圧縮永久歪は、非常に有害であることが分った。。
取付材24の圧縮永久歪はゼロであるのが理想である。
しかし、それは不可能であるから、実際上可能なかぎり
低い圧縮永久歪値を有する材料であることか望ましい。
また、ヒステリシスも低いほどよい。ヒステリシスの高
い材料では、最終製品に良好な平面度が得られないこと
が認められた。
これは、取U材が研磨またはラップ仕上げ操作の作用力
を受けて撓んだとき過熱や不均一な熱を生じるためであ
ると考えられる。
取付材の圧縮弾性率は、最終製品に得られる平面度に非
常に強い影響力を有することが翻められた。取付材24
が研磨操作の作用力を受けて、その圧縮可能範囲の10
ないし60%圧縮した場合、最も高い平面度が得られた
。この測定に当っては、取付材に、それ以上容積圧縮を
示さなくなるまで順次に高い荷重をかけていくこ七によ
ってその極限圧縮性を測定した。例えば、ある特定月料
の15ミル(0,381mm  )厚のフィルムの極限
圧縮時の厚さは5ミル(0,127mm)であった。
このフィルムの場合、その圧縮可能範囲の10〜60%
の圧縮は、1〜6ミル(o、 02 s 4〜0、15
24 mtn  )の圧縮に相当する。
取付材24のための材料としては、例えば、模造皮革を
得るために開発された凝固縦孔質フィルムや、微気泡の
インフレート7オームなどが好適であることが認められ
た。前者の材料の例としては、米国特許第4.021.
161号に記載された中間層、米国特許第3.871.
958号、4,006,052号、3.284.274
号、5.492.154号、3、565.668号、3
.524.791号、および5、208.875号に記
載されたフィルムなどがある。ある種のフィルムの場合
は、直径500μもの大きな微孔の存在でさえも、圧縮
永久歪特性を劣化させることなく、初期圧縮弾性率を低
くする利点があると考えられる。
【図面の簡単な説明】
第1図は本発明による工作物ホルダの下からみた平面図
、第2図は第1図の線2−2に沿ってみた断面図である
。 10;型 板 12:キャリア 14:接着材層 16:穴 18:挿入体 20;裏当月 22:接着オイJけ 24:取伺利

Claims (1)

  1. 【特許請求の範囲】 1)研磨操作、ラップ仕上げおよびイ11[削操作のた
    めの」:作物ホルダにおいて、 回転自在に取付けることができるようにt「されたキャ
    リアと、該キャリアの一方の面に脱着自在に固定されて
    :15す、曲面と協同してそれぞわ個別の空洞を画定J
    る複数の貫通穴を有する平11.1な型板と、該各空洞
    内に脱着自在に保持された挿入体とから成り、該各挿入
    体は、工作物の面に脱着自在に取付けることができるよ
    うになされた各種圧縮fl:材料から成る工作物取付材
    を含むものであることを1°:f?:々とする工作ψI
    ボルダ。
JP58029636A 1982-09-23 1983-02-25 研磨操作のための工作物ホルダ Granted JPS5959347A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/422,124 US4512113A (en) 1982-09-23 1982-09-23 Workpiece holder for polishing operation
US422124 1982-09-23

Publications (2)

Publication Number Publication Date
JPS5959347A true JPS5959347A (ja) 1984-04-05
JPH0335063B2 JPH0335063B2 (ja) 1991-05-24

Family

ID=23673490

Family Applications (3)

Application Number Title Priority Date Filing Date
JP1983025968U Granted JPS5950665U (ja) 1982-09-23 1983-02-25 研磨操作のための工作物ホルダ
JP58029636A Granted JPS5959347A (ja) 1982-09-23 1983-02-25 研磨操作のための工作物ホルダ
JP62300310A Granted JPS63162155A (ja) 1982-09-23 1987-11-30 研磨のための工作物取付け方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP1983025968U Granted JPS5950665U (ja) 1982-09-23 1983-02-25 研磨操作のための工作物ホルダ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP62300310A Granted JPS63162155A (ja) 1982-09-23 1987-11-30 研磨のための工作物取付け方法

Country Status (2)

Country Link
US (1) US4512113A (ja)
JP (3) JPS5950665U (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6310058U (ja) * 1986-07-07 1988-01-22
JP2010149228A (ja) * 2008-12-25 2010-07-08 Toppan Printing Co Ltd カラーフィルタ基板の研磨方法

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900001236B1 (ko) * 1984-05-29 1990-03-05 미쓰이도오아쓰 가가꾸 가부시끼가이샤 웨이퍼 가공용필름
DE3524978A1 (de) * 1985-07-12 1987-01-22 Wacker Chemitronic Verfahren zum beidseitigen abtragenden bearbeiten von scheibenfoermigen werkstuecken, insbesondere halbleiterscheiben
JPH0734498Y2 (ja) * 1988-02-03 1995-08-02 日東電工株式会社 スペーサー
US5243791A (en) * 1989-04-25 1993-09-14 Amp Incorporated Polishing fixture and method for polishing light emitting devices
US5257478A (en) * 1990-03-22 1993-11-02 Rodel, Inc. Apparatus for interlayer planarization of semiconductor material
US5146083A (en) * 1990-09-21 1992-09-08 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration High temperature fiber optic microphone having a pressure-sensing reflective membrane under tensile stress
US5193316A (en) * 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5267418A (en) * 1992-05-27 1993-12-07 International Business Machines Corporation Confined water fixture for holding wafers undergoing chemical-mechanical polishing
US5256599A (en) * 1992-06-01 1993-10-26 Motorola, Inc. Semiconductor wafer wax mounting and thinning process
MY114512A (en) 1992-08-19 2002-11-30 Rodel Inc Polymeric substrate with polymeric microelements
US5443416A (en) * 1993-09-09 1995-08-22 Cybeq Systems Incorporated Rotary union for coupling fluids in a wafer polishing apparatus
US5473433A (en) * 1993-12-07 1995-12-05 At&T Corp. Method of high yield manufacture of VLSI type integrated circuit devices by determining critical surface characteristics of mounting films
US5733175A (en) * 1994-04-25 1998-03-31 Leach; Michael A. Polishing a workpiece using equal velocity at all points overlapping a polisher
US5607341A (en) * 1994-08-08 1997-03-04 Leach; Michael A. Method and structure for polishing a wafer during manufacture of integrated circuits
US5692950A (en) * 1996-08-08 1997-12-02 Minnesota Mining And Manufacturing Company Abrasive construction for semiconductor wafer modification
US5716258A (en) * 1996-11-26 1998-02-10 Metcalf; Robert L. Semiconductor wafer polishing machine and method
US5882245A (en) * 1997-02-28 1999-03-16 Advanced Ceramics Research, Inc. Polymer carrier gears for polishing of flat objects
US6425812B1 (en) 1997-04-08 2002-07-30 Lam Research Corporation Polishing head for chemical mechanical polishing using linear planarization technology
US6244946B1 (en) 1997-04-08 2001-06-12 Lam Research Corporation Polishing head with removable subcarrier
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6336845B1 (en) 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US6485361B1 (en) * 1997-12-18 2002-11-26 Advanced Micro Devices, Inc. Apparatus for holding and delayering a semiconductor die
US6020262A (en) * 1998-03-06 2000-02-01 Siemens Aktiengesellschaft Methods and apparatus for chemical mechanical planarization (CMP) of a semiconductor wafer
US6152807A (en) * 1998-07-07 2000-11-28 International Business Machines Corporation Lapping and polishing fixture having flexible sides
JP2000071170A (ja) 1998-08-28 2000-03-07 Nitta Ind Corp 研磨用ウエハ保持部材及びそのウエハ保持部材の研磨機定盤への脱着方法
DE19901338C1 (de) * 1999-01-15 2000-03-02 Reishauer Ag Verfahren zum Profilieren von schnelldrehenden Schleifschnecken sowie Vorrichtung zur Durchführung des Verfahrens
US6238592B1 (en) 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6855043B1 (en) * 1999-07-09 2005-02-15 Applied Materials, Inc. Carrier head with a modified flexible membrane
US6431959B1 (en) * 1999-12-20 2002-08-13 Lam Research Corporation System and method of defect optimization for chemical mechanical planarization of polysilicon
US6479386B1 (en) 2000-02-16 2002-11-12 Memc Electronic Materials, Inc. Process for reducing surface variations for polished wafer
US6666756B1 (en) 2000-03-31 2003-12-23 Lam Research Corporation Wafer carrier head assembly
DE10054159A1 (de) * 2000-11-02 2002-05-16 Wacker Siltronic Halbleitermat Verfahren zur Montage von Halbleiterscheiben
US6645049B2 (en) * 2001-04-23 2003-11-11 Phuong Van Nguyen Polishing holder for silicon wafers and method of use thereof
US6612905B2 (en) * 2001-04-23 2003-09-02 Phuong Van Nguyen Silicon wafer polishing holder and method of use thereof
US8066552B2 (en) * 2003-10-03 2011-11-29 Applied Materials, Inc. Multi-layer polishing pad for low-pressure polishing
US7654885B2 (en) * 2003-10-03 2010-02-02 Applied Materials, Inc. Multi-layer polishing pad
US7588481B2 (en) * 2005-08-31 2009-09-15 Shin-Etsu Chemical Co., Ltd. Wafer polishing method and polished wafer
US8087975B2 (en) * 2007-04-30 2012-01-03 San Fang Chemical Industry Co., Ltd. Composite sheet for mounting a workpiece and the method for making the same
US8182315B2 (en) * 2008-03-24 2012-05-22 Phuong Van Nguyen Chemical mechanical polishing pad and dresser
CN101981666A (zh) * 2008-03-25 2011-02-23 应用材料公司 改良的承载头薄膜
US20100112905A1 (en) * 2008-10-30 2010-05-06 Leonard Borucki Wafer head template for chemical mechanical polishing and a method for its use
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10160093B2 (en) * 2008-12-12 2018-12-25 Applied Materials, Inc. Carrier head membrane roughness to control polishing rate
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010247254A (ja) * 2009-04-13 2010-11-04 Shin Etsu Handotai Co Ltd 研磨ヘッドの製造方法及び研磨装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5545640B2 (ja) * 2010-05-11 2014-07-09 株式会社ディスコ 研削方法
US8414361B2 (en) * 2010-08-13 2013-04-09 Phuong Van Nguyen Silicon carbide, sapphire, germanium, silicon and pattern wafer polishing templates holder
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
USD712852S1 (en) 2012-03-20 2014-09-09 Veeco Instruments Inc. Spindle key
USD726133S1 (en) 2012-03-20 2015-04-07 Veeco Instruments Inc. Keyed spindle
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130267155A1 (en) * 2012-04-09 2013-10-10 Phuong Van Nguyen Wafer Polishing Pad Holder Template
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106992112A (zh) * 2016-01-21 2017-07-28 苏州新美光纳米科技有限公司 超薄晶片的抛光方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170252893A1 (en) * 2016-03-03 2017-09-07 P.R. Hoffman Machine Products Inc. Polishing machine work piece holder
US10556317B2 (en) * 2016-03-03 2020-02-11 P.R. Hoffman Machine Products Inc. Polishing machine wafer holder
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN107457688A (zh) * 2017-10-01 2017-12-12 德清凯晶光电科技有限公司 大基片游星轮
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US10573547B1 (en) 2018-11-05 2020-02-25 Honeywell Federal Manufacturing & Technologies, Llc Apparatus and method for facilitating planar delayering of integrated circuit die
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115070619B (zh) * 2022-08-18 2023-08-22 苏州燎塬半导体有限公司 一种锑化物磨抛夹具及锑化物晶片的磨抛方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5511767A (en) * 1978-07-12 1980-01-26 Shin Etsu Handotai Co Ltd Mirror finishing method of semiconductor wafer
JPS56146667A (en) * 1980-04-18 1981-11-14 Hitachi Ltd Mirror surface grinder

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3453783A (en) * 1966-06-30 1969-07-08 Texas Instruments Inc Apparatus for holding silicon slices
US3449870A (en) * 1967-01-24 1969-06-17 Geoscience Instr Corp Method and apparatus for mounting thin elements
US3924361A (en) * 1973-05-29 1975-12-09 Rca Corp Method of shaping semiconductor workpieces
JPS5027195A (ja) * 1973-07-13 1975-03-20
US4081928A (en) * 1974-05-16 1978-04-04 Texas Instruments Incorporated Silicon slice carrier block and plug assembly
JPS5185588A (ja) * 1975-01-24 1976-07-27 Hitachi Ltd
US4132037A (en) * 1977-02-28 1979-01-02 Siltec Corporation Apparatus for polishing semiconductor wafers
JPS5931213B2 (ja) * 1977-11-18 1984-07-31 三菱電機株式会社 半導体ウエハのラツプ定盤への接着方法
US4221083A (en) * 1978-01-03 1980-09-09 Valley Industrial Products Heat shield blocking and mounting disc for lens grinding

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5511767A (en) * 1978-07-12 1980-01-26 Shin Etsu Handotai Co Ltd Mirror finishing method of semiconductor wafer
JPS56146667A (en) * 1980-04-18 1981-11-14 Hitachi Ltd Mirror surface grinder

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6310058U (ja) * 1986-07-07 1988-01-22
JP2010149228A (ja) * 2008-12-25 2010-07-08 Toppan Printing Co Ltd カラーフィルタ基板の研磨方法

Also Published As

Publication number Publication date
US4512113A (en) 1985-04-23
JPH0426982B2 (ja) 1992-05-08
JPS63162155A (ja) 1988-07-05
JPS5950665U (ja) 1984-04-03
JPH0335063B2 (ja) 1991-05-24
JPH035414Y2 (ja) 1991-02-12

Similar Documents

Publication Publication Date Title
JPS5959347A (ja) 研磨操作のための工作物ホルダ
US5645474A (en) Workpiece retaining device and method for producing the same
US7690971B2 (en) Methods of bonding superabrasive particles in an organic matrix
US4132037A (en) Apparatus for polishing semiconductor wafers
US4274232A (en) Friction grip pad
US20080292869A1 (en) Methods of bonding superabrasive particles in an organic matrix
WO2000064633A3 (en) Abrasive article suitable for abrading glass and glass ceramic workpieces
JP2001510739A (ja) ラップ仕上げ、ホーニング、およびポリッシングの間に加工部品を保持するための装置
EP1358047B1 (en) Backup plate assembly for grinding system
JP4793680B2 (ja) 半導体ウェーハの研磨方法
JPH068147A (ja) 弾性砥石の支持方法及び砥石支持具
JPH11333703A (ja) ポリッシング加工機
JPS61214965A (ja) 弾性研摩工具
JP2559763B2 (ja) 表面仕上げパット
JP2004025400A (ja) ディスク状砥石
JP2001334469A (ja) ガラス基板加工用ダイヤモンドホイール及びガラス基板の加工法
KR102629759B1 (ko) 연마 패드용 깔개 및 그 깔개를 사용하는 연마방법
JP2023133809A (ja) 研磨体
JPH0621666Y2 (ja) ハンドラツパー
JPS60177851A (ja) センタ−レス研摩機の支持刃
JPH08174395A (ja) 高平坦ガラス基板の製造方法
JPS6236611Y2 (ja)
JPS6331886Y2 (ja)
JPS61297082A (ja) 研摩シ−ト
JPH1076471A (ja) 研磨ディスク支持体及び研磨方法