JPS63162155A - 研磨のための工作物取付け方法 - Google Patents

研磨のための工作物取付け方法

Info

Publication number
JPS63162155A
JPS63162155A JP62300310A JP30031087A JPS63162155A JP S63162155 A JPS63162155 A JP S63162155A JP 62300310 A JP62300310 A JP 62300310A JP 30031087 A JP30031087 A JP 30031087A JP S63162155 A JPS63162155 A JP S63162155A
Authority
JP
Japan
Prior art keywords
workpiece
carrier plate
mounting
thermoplastic resin
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP62300310A
Other languages
English (en)
Other versions
JPH0426982B2 (ja
Inventor
ウィリアム・ディー・ブディンガー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JPS63162155A publication Critical patent/JPS63162155A/ja
Publication of JPH0426982B2 publication Critical patent/JPH0426982B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02013Grinding, lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Constituent Portions Of Griding Lathes, Driving, Sensing And Control (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 Uの言 な1日 11上立■旦豆1 本発明は、平坦な工作物、特に、シリコンウェーハなど
の半導体材料を研磨、ラップ仕上げ及び研削するために
キャリアプレートに取付ける工作物取付は方法に関する
良米二弦碧 従来、シリコンエーハなどの半導体を研磨加工する際、
工作物ウェーハをキャリアプレートに取付ける方法とし
てワックス式と、ワックスレス式の2方法がある。前者
は、シリコンウェーハの裏面にワックスを薄膜状に被覆
するか、キャリアプレートの表面にワックス溶液の非常
に薄い層をスピンコーチングにより被覆する方法である
。その場合、溶媒は蒸発し、非常に平面度の高いワック
スの薄膜が残され、このワックスの表面上にウェーハを
直接押し付けてキャリアプレートに取付ける。しかし、
このようなワックス方式は、作業者の熟練依存度が高い
こと、塵埃、粉塵、その他の汚染に対する許容度が低い
などの欠点がある他、ウェーハの研磨が終了するたびに
、キャリアプレートからウェーハを外した後、次の研磨
工程の前にキャリアプレートの表面からワックスを完全
に除去する必要がある。しかも、そのような洗浄工程に
はトリクレンなどの地下汚染や作業環境の悪化を招く塩
素系溶剤を用いなければならず、その廃棄処理に費用と
時間がかかる。
これに対して、近年提案されているワックスレス方式(
ワックスを使用しない方式)として、ウェーハのサイズ
に対応する大きさの穴を有する型板(テンプレート)を
用意し、多層積層体(裏当材と、ウェーハ取付材)から
成るウェーハ保持用パッドを型板の裏面全体に両面テー
プにより固着させるテンプレート(型板)・アッセンブ
リ一方式が提案されている。この方法は、上記ワックス
方式とは異なり、氷詰りによりウェーハを取付材に貼り
つけるので、上述した溶剤使用により誘起される諸問題
は回避される。ウェーハのサイズ毎に高価な専用キャリ
アプレートを用意する必要がなく、ウェーハのサイズに
対応する穴を備えたテンプレート・アッセンブリーを用
意すればよいという利点は得られるが、研磨加工を繰り
返すことにより取付材が繰り返し圧縮応力による変形を
受は劣化する。そのときには、比較的安価であるとはい
え、テンプレート・アッセンブリー全体を取換える必要
があり、やはりウェーハ1枚当たりのコストがかなり割
高になる。更に、多層積層体のウェーハ保持用パッドが
キャリアプレートに固定されて動かず、積層体を構成す
る各層の厚みむらが増幅されてウェーハの研磨面に影響
し、研磨精度が低下する。このテンプレート・アッセン
ブリ一方式は、操作の便と掃除の便の両面において重要
な改良をもたらした。しかしながら、この改良は、最終
部品における平面度を犠牲にして得られたものにすぎな
い。
産業界、特にエレクトロニクス業界は、常に、より平面
度の高い部品を求めているので、上述した工作物取付方
式は、不満足なものである。「ワックスレス」方式によ
る場合の平面度の低下の主な原因は、裏当材又は取付材
の精度欠落である。
エレクトロニクス産業では、今日、直径150mmの工
作物に凹凸1μ以内の平面度を必要とするようなウェー
ハ基材の仕様を考えている。ラップ仕上げ工作物にその
ような平面度を達成するには、通常、加工すべき工作物
をその所要の平面度と少くとも同等の平面度の基準表面
に当接させて固定しなければならない。しかし、ワック
スレス取付法に使用される取付材では、そのような精度
に形成するのは、経済的にみて、あるいは実用上からみ
て不可能である。そのような取付材における精度の欠落
は、取付材自体の柔軟性又は圧縮性によっである程度補
償することができる。しかしながら、複数個のウェーハ
を柔軟な、又は圧縮自在の取付材を用いてキャリアプレ
ートに取付けた場合、うねり作用が生じ、最終仕上り工
作物の平面度が著しく損われる。
日が ゛しよ と る。 占 本発明は、従来のワックス方式及びワックスレス方式の
いずれにも随伴する上述のような問題点を解決すること
を企図したものであり、今日エレクトロニクス産業にお
いて直径150mmのウェーハで1μ以内の凹凸に仕上
げられた平面度のつニームやマスクが要求されることに
鑑みて、シリコン、ガラス又はその他の材料でできた平
坦な工作物に研削、ラップ仕上又は研磨などの加工を施
す間できつる限り平面度の高い表面を得るためにそれら
の平坦な工作物をそれぞれ独立して取付け、研磨面の微
少な傾きを補償しウェーハの研磨精度を向上させる改良
された工作物取付は方法を提供する。
。 慨  ゛ るこめの 本発明は、上記問題点を解決するために、平坦な工作物
を研磨、ラップ仕上げ及び研削するためにキャリアプレ
ートに取り付ける工作物取付は方法であって、 中心部をスピンドルに回転自在に取付けることができる
ようになされたキャリアプレートと、該キャリアプレー
トの一方の面と協同して空洞を画定する少なくとも1つ
の貫通穴を有する平坦な型板を準備し、 該型板をキャリアプレートの面に脱着自在に固定し、 前記空洞内に挿入することができる容積圧縮性の工作物
取付材を準備し、 該取付材を該空洞内に挿入し、取付材と前記キャリアプ
レートの面との間に熱可塑性樹脂を介在させ、 該熱可塑性樹脂を溶融させるのに十分な温度に加熱し、 仕上り工作物に望まれる平面度と同等又はそれ以上の平
面度にまで予め研磨された基準面体を準備し、 該基準面体を前記取付材の上に載せ、前記熱可塑性樹脂
の溶融温度より低い温度下で、しかし該熱可塑性樹脂が
まだ溶融状態にあり、流動性を維持している間に取付材
及び基準面体を研磨時の加工圧にほぼ等しい圧力で押圧
し、 該圧力に保持したままで熱可塑性樹脂を冷却硬化させて
、前記取付材をキャリアプレートに脱着自在に接合させ
、 前記基準面体を取付材から取外し、その取付材上に工作
物を取付けることから成る工作物取付は方法を提供する
K五五辺韮旦 以下に、本発明の工作物取付は方法を添付図を参照して
説明する。本発明の方法によれば、研磨機の工作物キャ
リアプレート12の平坦面に、工作物(ウェーハ)を挿
入するための少なくとも1つの穴16を穿設した平坦な
型板10を接着剤層14によって脱着自在に固着する。
キャリアプレート12は、通常、0.5μm以内の平面
度にまでラップ仕上し、表面を硬くし、腐食を防止する
ためにクロームを蒸着した鋳鉄によって製造する。キャ
リアプレートは、研磨機の研磨用平面体であって、キャ
リアプレートは上定盤とも称され、使用時には、キャリ
アプレート(上定盤)に工作物(ウェーハ)を取付け、
研磨機の定盤(下定盤)に研磨材を装着し、上下定盤を
合わせて研磨(加工)圧をかけキャリアプレートを回転
させ上下定盤の間の隙間に砥液を注流しながら研磨を行
なう。
型板10には、ウェーハ取付材24を受は入れるのに十
分な直径の貫通穴16を必要個数だけ等間隔をおいて形
成する。各穴16は、隣接するキャリアプレート12の
平坦面と協同して空洞を形成する。型板lOの、取付材
24を入れる穴16は、パンチで打ち抜くか、あるいは
レーザで切り抜くこともできるが、機械加工によって穿
設した場合、穴の縁が工作物を研磨中確実に保持するこ
とが認められた。
型板10は、米国でG−10と称されるガラス繊維入り
エポキシ樹脂で形成することができるが、G−10の他
に、例えばポリカーボネート、ポリウレタン、アセター
ル、フェノール樹脂、ポリエステル、ポリ塩化ビニル、
ポリ酢酸ビニル等のプラスチックなどの他の材料を用い
ることもできる。ただし、型板10の素材として金属材
を使用するのは適当ではない。なぜなら、金属材は、摩
耗率が高く、硬いために工作物の縁を欠いてしまうおそ
れがあるからである。
型板IOは適当な接着剤層14によってキャリアプレー
ト12に固着させる。接着剤としては、3M社のNo、
583のようなホットメルト型フェノール樹脂が良好で
あり、型板を交換する場合、熱又はアセトンにより容易
に軟化させ除去することができる。型板10をキャリア
プレート12に固定する場合、接着剤層14が型板10
の穴16によって画定される部分のキャリアプレート1
2上に残らないようにすることが肝要である。
即ち、キャリアプレート12の各空洞の部分には接着剤
層14を被着させない。
各空洞には同型の取付材24を挿入することができるよ
うにする。通常、キャリアプレートは下向きで使用され
るが、準備の段階ではキャリアプレート上の型板10の
穴16を上に向けるようにして操作するのが便利である
取付材24は、柔軟な高圧縮性シートであり、復元性を
有する微孔質(マイクロポーラス又はマイクロフオーム
)シート又はフィルムによって形成される。このような
取付材は、水に濡れても紙のように弱々しくならず、し
わにもならず、適当な弾力を保ち、取付は平面(キャリ
アプレート)に対しても大きな許容性をもっている。
取付材24の材料としては、例えば、模造皮革を得るた
めに開発された凝固微孔質(マイクロポーラス)フィル
ムや、微気泡(マイクロフオーム)のインフレートフオ
ームなどが好適であることが認められた。前者の材料の
例としては、米国特許第4,021,161号に記載さ
れた中間層、米国特許第3,871,938号、4,0
06,052号、3、284.274号、3,492.
154号、3.565゜668号、3,524,791
号、及び3,208,875号に記載されたフィルムな
どがある。ある種のフィルムの場合は、直径500μも
の大きな微孔の存在でさえも、圧縮永久歪特性を劣化さ
せることなく、初期圧縮弾性率を低くする利点があると
考えられる。
取付材24の容積圧縮弾性率は、最終製品に得られる平
面度に非常に強い影響力を有することが認められた。取
付材24が通常の研磨時の作用力(即ち加工圧)を受け
て、その材料の圧縮可能範囲の10ないし60%に圧縮
される状態で研磨されるウェーハが最も高い平面度を示
した。圧縮度は、取付材に、それ以上容積圧縮を示さな
くなるまで順次に高い荷重をその極限までかけることに
よって測定することができる。例えば、ある特定材料の
0.381mm(15ミル)厚のマイクロポーラスフィ
ルムの極限圧縮時の厚さは0.127mm (5ミル)
であった。このフィルムの場合、その圧縮可能範囲の1
0〜60%の圧縮は、0.0254〜0.1524mm
 (1〜6ミル)の圧縮に相当する。
更に又、取付材24にとっては、圧縮永久歪が非常に有
害であることが分かった。取付材24の圧縮永久歪はゼ
ロであるのが理想であり、実際上可能なかぎり低い圧縮
永久歪値を有する材料であることが望ましい。又、ヒス
テリシスの高い材料では、最終製品に良好な平面度が得
られないことが認められるので、ヒステリシスも低いほ
どよい。これは、取付材が研磨又はラップ仕上操作の作
用力を受けて屈曲したとき過熱や不均一な熱を生じるた
めであると考えられる。
最終製品の平面度に及ぼす影響という点では取付材24
は、最も重要な要素である。この取付材は、工作物26
の裏面に直接接触させるようにした場合に最も効果的に
使用しうることが確認された。取付材24は、その密度
を減少させることによって、あるいは又、その表面をサ
ンドブラスト処理して、その気孔を露出させ、表面の圧
縮弾性率を低下させることによっても良い結果が得られ
ることが認められた。
本発明の方法によれば、キャリアプレート12に取付材
24を脱着自在に接合する手段として、常温では固体で
あるが一定温度以上で軟化し始め、温度を下げると硬化
する、例えばペンタリンC(商標名)(溶融温度約85
℃)のような熱可塑性樹脂を用いる。このような熱可塑
性樹脂を型板10の穴即ち空洞16内でキャリ、アブレ
ート12の表面即ち空洞の底面上に導入し、空洞を、熱
可塑性樹脂を溶融させるのに十分な温度にまで加熱した
後、空洞内に取付材を挿入する。あるいは、先に空洞内
の熱可塑性樹脂の上に取付材24を載せてから空洞を加
熱してもよい。次ぎに、仕上り工作物(ウェーハ)26
に望まれる平面度と同等又はそれ以上の平面度の基準面
をもつ、予め研磨された基準面体(rウェー八ブランク
」又はrウェーハダミー」又は単に「ブランク」又は「
ダミー」とも称する)を取付材24の上に載せ、前記熱
可塑性樹脂の溶融温度より低い温度下で、しかし該熱可
塑性樹脂がまだ溶融状態にあり、流動性を維持している
間に取付材及びダミーを研磨時の加工圧にほぼ等しい圧
力で押圧し、その圧力に保持したままで熱可塑性樹脂を
冷却硬化させ、取付材24をキャリアプレートに脱着自
在に接合させる。
次ぎに、基準面体即ちダミーを取付材24から取外し、
その取付材に研磨すべき工作物(ウェーハ)を取付ける
。取付材24と工作物26との合計の厚さは、型板lo
と接着剤層14との合計の厚さより大きいので、工作物
26は、それと隣接する型板10の露出面を越えて突出
する(第2図参照)。キャリアプレート12は、スピン
ドル30上で軸受28の回りに回転自在とする。 取付
材24へのウェーハの取付けは、取付材の表面に水や、
ポリエチレングリコール等のグリコール類又は粘性液体
を滴下して工作物を押付は吸着保持させることによって
行なう。
次いで、研磨機の定盤(下定盤)(図示せず)に研磨材
を装着し、キャリアプレート(上定盤)12を定盤に合
わせて研磨(加工)圧をかけ、キャリアプレートを回転
させ上下定盤の間の隙間に砥液を注流しながら研磨を行
なう。
叙上のように本発明によれば、研磨すべき工作物に望ま
れる研磨精度以上に研磨された平面度の(超平坦な)基
準面をもつ基準面体(ダミー)を取付材24に載せ、上
記熱可塑性樹脂がまだ溶融状態にあり流動性を維持して
いる間にダミー及び取付材をキャリアプレートに圧接さ
せる。この押圧力は、実際に加工すべき工作物を取付材
に取付けて研磨する際に工作物にかける研磨圧にほぼ等
しい一定の圧力とする。それによって、フィルム状取付
材24が予め研磨操作のときと同様に圧縮され、流動状
態にある熱可塑性樹脂が流動し自動的に取付材とキャリ
アプレートとの間に分配されて、フィルム状取付材24
及びキャリアプレート12の面の凹凸を補償する(埋め
合わせる)。従って、取付材は、樹脂が冷却硬化される
と、後にそれに取り付けて研磨すべき工作物に対し均一
な平坦面を呈示することができ、研磨操作の際工作物の
全面に亘って均一な圧力を及ぼすことができる。従って
、ダミーを取除き、工作物(ウェーハ)をこの取付材に
取付けて研磨した場合、研磨が進行するにつれて工作物
は、取付材との協同によって基準面体(ダミー)の超平
坦な平面度に達するまで自動的に圧力を均一化するよう
に働きながら研磨されていく、換言すれば、圧力が均一
化する状態は、工作物が超平坦なダミーと同じ平面度を
得たときにはじめて達成される。しかも、取付材24は
、圧縮性材料であり、復元性を有するので、外からの偏
った作用圧や、取付材の傾き、工作物(ウェーハ)の平
面上の微小な凹凸等に鋭敏に対応して精細に研磨圧力を
均一化し研磨結果を良好ならしめる。かくして、本発明
の方法によれば、超平坦な基準面をもつ基準面体、即ち
ダミーと同等の、極めて高い仕上り平面度が得られる。
研磨により損傷が激しいのは、ウェーハを取付ける取付
材の部分である。研磨時にあっては、砥液が常に工作物
に向かって注流されており、全体が高速度で回転してい
るので、工作物と取付材24との接合部から砥液が進入
して汚染されるばかりでなく、取付材24は、使用によ
り次第に摩耗し、弾力性を減退し、材料的老化も起こす
ので、取換えなければならないが、本発明の方法によれ
ば従来のように型枠全体を取換えるのでなく、その一部
分である取付材のみを各穴ごとに個々に取換えればよく
、きわめて経済的である。しかも、本発明の方法に使用
される熱可塑性樹脂は従来のワックスに比べて簡単に溶
融し、キャリアプレートの面から容易に除去することが
できるので、取付材の脱着は甚だ簡単であり、キャリア
プレート面の掃除も簡単である。
以下に、本発明の方法を実施した具体例を説明する。
直径203mm、厚さ1.27mmのガラス繊維入りエ
ポキシ樹脂(米国でG−10と称される材料)のシート
の円板を型板lOとし、これを0.762mmにまでラ
ップ仕上げし、機械加工により直径77mmの穴16を
3個穿設した。この型板10をその裏面に両面粘着テー
プを貼りキャリアプレート12に取付けた0次いで、型
板10を上にしてキャリアプレート12をオーブン内に
入れ、150℃の温度にまで加熱した。20%のポリプ
ロピレングリコールを含有したペンタリンC(商標名)
樹脂(熱可塑性樹脂)正1グラムを各穴16によって画
定される空洞の中央部に置いて溶融させた。次いで、各
空洞内に直径76.8mmの微孔質(マイクロポーラス
)ウレタンの円盤状フィルムから成る取付材24を挿入
した。各取付材(即ち円板状フィルム)の上面に、直径
76.2mm、厚さ0.508mmの既に研磨された超
平坦な基準面をもつシリコンウェーハ(つ工−ハダミー
)を載せた。次いで、この組立体全体を上記樹脂が溶融
状態にあって暖かい間にプレス内に入れ、ダミー及び取
付材に22.5kgのプレス圧力をかけ、20℃にまで
冷却した。5分後その組立体をプレスから取出し、ウェ
ーハダミーを円板状フィルム(取付材)から外した。次
ぎに、円板状取付材の表面を水で湿し、研磨すべきウェ
ーハを取付けて固定し、砥液を注流しながら研磨した。
結果は、下記のように良好であった。
取付材の容積圧縮率  40% 測定圧        300g  /  crrr’
仕上りウェーハの精度 TTV値      2.5μ 更に続けて同一の円板状取付材を用いてウェーハの研磨
操作を行ったところ、25枚目のウェーハで取付材は、
ウェーハの仕上り平面度を劣化させるほどに摩耗又は汚
染されていることが認められた。この時点で、キャリア
プレートを150℃(即ち、上記樹脂を溶融させるのに
十分な温度ではあるが、型板1oをキャリアプレート1
2に接合している接着材を溶融しない温度)にまで再加
熱することによって取付材を外して、新しい取付材を最
初のものと同様の態様で空洞に挿入した。
取付材の交換は容易に行うことができた。
l囲公立1匁遇 本発明によって得られる作用効果は下記の通りである。
仕上り工作物に望まれる平面度と同等又はそれ以上の平
面度にまで予め研磨された基準面体(ダミー)を工作物
取付材の上に載せ、工作物をキャリアプレートに接合す
るための熱可塑性樹脂の溶融温度より低い温度下で、し
かし熱可塑性樹脂がまだ溶融状態にあり、流動性を維持
している間に取付材及び基準面体を研磨時の加工圧にほ
ぼ等しい圧力で押圧するので、流動状態にある熱可塑性
樹脂が流動し自動的に取付材とキャリアプレートとの間
に分配されて、取付材及びキャリアプレートの面の凹凸
を補償する(埋め合わせる)。従って、取付材は、樹脂
が冷却硬化されると、後にそれに取付けて研磨すべき工
作物に対し均一な平坦面を呈示することができ、基準面
体と同等の極めて高い仕上り平面度が得られる。
取付材は、圧縮性材料であり、復元性を有するので、外
からの偏った作用圧や、取付材の傾き、工作物(ウェー
ハ)の平面上の微小な凹凸等に鋭敏に対応して精細に研
磨圧力を均一化し研磨結果を良好ならしめる。
工作物をワックスを介して直接キャリアプレートの面に
取付ける従来のワックス式取付法と異なり、工作物を取
付け、除去するのに熟練を要さない。
従来のワックスに比べて本発明の方法に用いられる熱可
塑性樹脂は取扱が容易(簡単に溶融させ除去することが
できる)であり、しかも、1つの工作物を研磨するたび
にワックスを除去洗浄し新たにワックスを塗り替える必
要がなく、何個かの工作物を研磨した後摩耗又は汚損し
た取付材だけを個々に(型板の各穴の取付材ごとに)取
換えればよいので、熱可塑性樹脂の塗り替え作業の頻度
を少なくすることができ、作業能率を大幅に向上するこ
とができる。
製造費の高いキャリアプレートを交換する必要がないこ
とはもちろん、型板をも交換する必要なしに工作物を保
持するための安価な取付材だけを交換すればよいので、
極めて経済的である。
研磨操作中工作物が熱可塑性樹脂に直接接触しないので
樹脂による汚染がなく、溶剤による洗浄を必要としない
4・    の   を言 日 第1図は本発明の方法を実施するための工作物ホルダの
下からみた平面図、第2図は第1図の線2−2に沿って
みた断面図である。
10:型板 12:キャリアプレート 14:接着剤層 16:穴 20:熱可塑性樹脂 24:取付材

Claims (1)

  1. 【特許請求の範囲】 1)平坦な工作物を研磨、ラップ仕上げ及び研削するた
    めにキャリアプレートに取付ける工作物取付け方法であ
    って、 中心部をスピンドルに回転自在に取付けることができる
    ようになされたキャリアプレートと、該キャリアプレー
    トの一方の面と協同して空洞を画定する少なくとも1つ
    の貫通穴を有する平坦な型板を準備し、 該型板をキャリアプレートの面に脱着自在に固定し、 前記空洞内に挿入することができる容積圧縮性の工作物
    取付材を準備し、 該取付材を該空洞内に挿入し、取付材と前記キャリアプ
    レートの面との間に熱可塑性樹脂を介在させ、 該熱可塑性樹脂を溶融させるのに十分な温度に加熱し、 仕上り工作物に望まれる平面度と同等又はそれ以上の平
    面度にまで予め研磨された基準面体を準備し、 該基準面体を前記取付材の上に載せ、前記熱可塑性樹脂
    の溶融温度より低い温度下で、しかし該熱可塑性樹脂が
    まだ溶融状態にあり、流動性を維持している間に取付材
    及び基準面体を研磨時の加工圧にほぼ等しい圧力で押圧
    し、 該圧力に保持したままで熱可塑性樹脂を冷却硬化させて
    、前記取付材をキャリアプレートに脱着自在に接合させ
    、 前記基準面体を取付材から取外し、その取付材上に工作
    物を取付けることから成る工作物取付け方法。
JP62300310A 1982-09-23 1987-11-30 研磨のための工作物取付け方法 Granted JPS63162155A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/422,124 US4512113A (en) 1982-09-23 1982-09-23 Workpiece holder for polishing operation
US422124 1982-09-23

Publications (2)

Publication Number Publication Date
JPS63162155A true JPS63162155A (ja) 1988-07-05
JPH0426982B2 JPH0426982B2 (ja) 1992-05-08

Family

ID=23673490

Family Applications (3)

Application Number Title Priority Date Filing Date
JP58029636A Granted JPS5959347A (ja) 1982-09-23 1983-02-25 研磨操作のための工作物ホルダ
JP1983025968U Granted JPS5950665U (ja) 1982-09-23 1983-02-25 研磨操作のための工作物ホルダ
JP62300310A Granted JPS63162155A (ja) 1982-09-23 1987-11-30 研磨のための工作物取付け方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP58029636A Granted JPS5959347A (ja) 1982-09-23 1983-02-25 研磨操作のための工作物ホルダ
JP1983025968U Granted JPS5950665U (ja) 1982-09-23 1983-02-25 研磨操作のための工作物ホルダ

Country Status (2)

Country Link
US (1) US4512113A (ja)
JP (3) JPS5959347A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000202754A (ja) * 1999-01-15 2000-07-25 Reischauer Ag グラインディングウォ―ムの加工方法および加工装置

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900001236B1 (ko) * 1984-05-29 1990-03-05 미쓰이도오아쓰 가가꾸 가부시끼가이샤 웨이퍼 가공용필름
DE3524978A1 (de) * 1985-07-12 1987-01-22 Wacker Chemitronic Verfahren zum beidseitigen abtragenden bearbeiten von scheibenfoermigen werkstuecken, insbesondere halbleiterscheiben
JPS6310058U (ja) * 1986-07-07 1988-01-22
JPH0734498Y2 (ja) * 1988-02-03 1995-08-02 日東電工株式会社 スペーサー
US5243791A (en) * 1989-04-25 1993-09-14 Amp Incorporated Polishing fixture and method for polishing light emitting devices
US5257478A (en) * 1990-03-22 1993-11-02 Rodel, Inc. Apparatus for interlayer planarization of semiconductor material
US5146083A (en) * 1990-09-21 1992-09-08 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration High temperature fiber optic microphone having a pressure-sensing reflective membrane under tensile stress
US5193316A (en) * 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5267418A (en) * 1992-05-27 1993-12-07 International Business Machines Corporation Confined water fixture for holding wafers undergoing chemical-mechanical polishing
US5256599A (en) * 1992-06-01 1993-10-26 Motorola, Inc. Semiconductor wafer wax mounting and thinning process
MY114512A (en) * 1992-08-19 2002-11-30 Rodel Inc Polymeric substrate with polymeric microelements
US5443416A (en) * 1993-09-09 1995-08-22 Cybeq Systems Incorporated Rotary union for coupling fluids in a wafer polishing apparatus
US5473433A (en) * 1993-12-07 1995-12-05 At&T Corp. Method of high yield manufacture of VLSI type integrated circuit devices by determining critical surface characteristics of mounting films
US5733175A (en) * 1994-04-25 1998-03-31 Leach; Michael A. Polishing a workpiece using equal velocity at all points overlapping a polisher
US5607341A (en) * 1994-08-08 1997-03-04 Leach; Michael A. Method and structure for polishing a wafer during manufacture of integrated circuits
US5692950A (en) * 1996-08-08 1997-12-02 Minnesota Mining And Manufacturing Company Abrasive construction for semiconductor wafer modification
US5716258A (en) * 1996-11-26 1998-02-10 Metcalf; Robert L. Semiconductor wafer polishing machine and method
US5882245A (en) * 1997-02-28 1999-03-16 Advanced Ceramics Research, Inc. Polymer carrier gears for polishing of flat objects
US6244946B1 (en) 1997-04-08 2001-06-12 Lam Research Corporation Polishing head with removable subcarrier
US6425812B1 (en) 1997-04-08 2002-07-30 Lam Research Corporation Polishing head for chemical mechanical polishing using linear planarization technology
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6336845B1 (en) 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US6485361B1 (en) * 1997-12-18 2002-11-26 Advanced Micro Devices, Inc. Apparatus for holding and delayering a semiconductor die
US6020262A (en) * 1998-03-06 2000-02-01 Siemens Aktiengesellschaft Methods and apparatus for chemical mechanical planarization (CMP) of a semiconductor wafer
US6152807A (en) * 1998-07-07 2000-11-28 International Business Machines Corporation Lapping and polishing fixture having flexible sides
JP2000071170A (ja) * 1998-08-28 2000-03-07 Nitta Ind Corp 研磨用ウエハ保持部材及びそのウエハ保持部材の研磨機定盤への脱着方法
US6238592B1 (en) 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6855043B1 (en) * 1999-07-09 2005-02-15 Applied Materials, Inc. Carrier head with a modified flexible membrane
US6431959B1 (en) 1999-12-20 2002-08-13 Lam Research Corporation System and method of defect optimization for chemical mechanical planarization of polysilicon
US6479386B1 (en) 2000-02-16 2002-11-12 Memc Electronic Materials, Inc. Process for reducing surface variations for polished wafer
US6666756B1 (en) 2000-03-31 2003-12-23 Lam Research Corporation Wafer carrier head assembly
DE10054159A1 (de) * 2000-11-02 2002-05-16 Wacker Siltronic Halbleitermat Verfahren zur Montage von Halbleiterscheiben
US6612905B2 (en) * 2001-04-23 2003-09-02 Phuong Van Nguyen Silicon wafer polishing holder and method of use thereof
US6645049B2 (en) * 2001-04-23 2003-11-11 Phuong Van Nguyen Polishing holder for silicon wafers and method of use thereof
US8066552B2 (en) * 2003-10-03 2011-11-29 Applied Materials, Inc. Multi-layer polishing pad for low-pressure polishing
US7654885B2 (en) * 2003-10-03 2010-02-02 Applied Materials, Inc. Multi-layer polishing pad
US7588481B2 (en) * 2005-08-31 2009-09-15 Shin-Etsu Chemical Co., Ltd. Wafer polishing method and polished wafer
US8087975B2 (en) * 2007-04-30 2012-01-03 San Fang Chemical Industry Co., Ltd. Composite sheet for mounting a workpiece and the method for making the same
US8182315B2 (en) * 2008-03-24 2012-05-22 Phuong Van Nguyen Chemical mechanical polishing pad and dresser
KR101722540B1 (ko) * 2008-03-25 2017-04-03 어플라이드 머티어리얼스, 인코포레이티드 캐리어 헤드 멤브레인
US20100112905A1 (en) * 2008-10-30 2010-05-06 Leonard Borucki Wafer head template for chemical mechanical polishing and a method for its use
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10160093B2 (en) 2008-12-12 2018-12-25 Applied Materials, Inc. Carrier head membrane roughness to control polishing rate
JP5446250B2 (ja) * 2008-12-25 2014-03-19 凸版印刷株式会社 カラーフィルタ基板の研磨方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010247254A (ja) * 2009-04-13 2010-11-04 Shin Etsu Handotai Co Ltd 研磨ヘッドの製造方法及び研磨装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5545640B2 (ja) * 2010-05-11 2014-07-09 株式会社ディスコ 研削方法
US8414361B2 (en) * 2010-08-13 2013-04-09 Phuong Van Nguyen Silicon carbide, sapphire, germanium, silicon and pattern wafer polishing templates holder
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
USD726133S1 (en) 2012-03-20 2015-04-07 Veeco Instruments Inc. Keyed spindle
USD712852S1 (en) 2012-03-20 2014-09-09 Veeco Instruments Inc. Spindle key
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130267155A1 (en) * 2012-04-09 2013-10-10 Phuong Van Nguyen Wafer Polishing Pad Holder Template
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106992112A (zh) * 2016-01-21 2017-07-28 苏州新美光纳米科技有限公司 超薄晶片的抛光方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10556317B2 (en) * 2016-03-03 2020-02-11 P.R. Hoffman Machine Products Inc. Polishing machine wafer holder
US20170252893A1 (en) * 2016-03-03 2017-09-07 P.R. Hoffman Machine Products Inc. Polishing machine work piece holder
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN107457688A (zh) * 2017-10-01 2017-12-12 德清凯晶光电科技有限公司 大基片游星轮
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US10573547B1 (en) 2018-11-05 2020-02-25 Honeywell Federal Manufacturing & Technologies, Llc Apparatus and method for facilitating planar delayering of integrated circuit die
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115070619B (zh) * 2022-08-18 2023-08-22 苏州燎塬半导体有限公司 一种锑化物磨抛夹具及锑化物晶片的磨抛方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5471980A (en) * 1977-11-18 1979-06-08 Mitsubishi Electric Corp Adhesion method of semiconductor wafer to lapping surface plate
JPS5511767A (en) * 1978-07-12 1980-01-26 Shin Etsu Handotai Co Ltd Mirror finishing method of semiconductor wafer

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3453783A (en) * 1966-06-30 1969-07-08 Texas Instruments Inc Apparatus for holding silicon slices
US3449870A (en) * 1967-01-24 1969-06-17 Geoscience Instr Corp Method and apparatus for mounting thin elements
US3924361A (en) * 1973-05-29 1975-12-09 Rca Corp Method of shaping semiconductor workpieces
JPS5027195A (ja) * 1973-07-13 1975-03-20
US4081928A (en) * 1974-05-16 1978-04-04 Texas Instruments Incorporated Silicon slice carrier block and plug assembly
JPS5185588A (ja) * 1975-01-24 1976-07-27 Hitachi Ltd
US4132037A (en) * 1977-02-28 1979-01-02 Siltec Corporation Apparatus for polishing semiconductor wafers
US4221083A (en) * 1978-01-03 1980-09-09 Valley Industrial Products Heat shield blocking and mounting disc for lens grinding
JPS56146667A (en) * 1980-04-18 1981-11-14 Hitachi Ltd Mirror surface grinder

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5471980A (en) * 1977-11-18 1979-06-08 Mitsubishi Electric Corp Adhesion method of semiconductor wafer to lapping surface plate
JPS5511767A (en) * 1978-07-12 1980-01-26 Shin Etsu Handotai Co Ltd Mirror finishing method of semiconductor wafer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000202754A (ja) * 1999-01-15 2000-07-25 Reischauer Ag グラインディングウォ―ムの加工方法および加工装置
JP4618837B2 (ja) * 1999-01-15 2011-01-26 ライシャウァー アーゲー グラインディングウォームの加工方法および加工装置

Also Published As

Publication number Publication date
JPS5950665U (ja) 1984-04-03
JPS5959347A (ja) 1984-04-05
JPH0335063B2 (ja) 1991-05-24
JPH035414Y2 (ja) 1991-02-12
US4512113A (en) 1985-04-23
JPH0426982B2 (ja) 1992-05-08

Similar Documents

Publication Publication Date Title
JPS63162155A (ja) 研磨のための工作物取付け方法
JP3072962B2 (ja) 研磨のための被加工物の保持具及びその製法
JP2971764B2 (ja) 砥粒固定型研磨定盤
JP2001025957A (ja) Cmpコンディショナ及びその製造方法
JP2851808B2 (ja) 研磨用ウエハ保持部材及びそのウエハ保持部材の定盤への装着方法
JPH11188590A (ja) エッジポリッシング装置
JPH11333703A (ja) ポリッシング加工機
JPS5845861A (ja) 表面加工用ポリシヤ
JPH0768469A (ja) ガラス板用研削加工シート
JP3821944B2 (ja) ウェーハの枚葉式研磨方法とその装置
JPH10286750A (ja) ウェーハの研磨方法
WO2016027903A1 (ja) 保持具及びその製造方法
JP2916746B2 (ja) 磁気ヘッドにおけるギャップ対向面の鏡面研磨方法及び平面研削盤
JPH1199475A (ja) ポリッシャ修正工具及びその製造方法
JPH08174395A (ja) 高平坦ガラス基板の製造方法
JP2002355756A (ja) 被研磨物保持用のバッキング材
JPS62181869A (ja) 半導体ウエハの研磨方法
JPH04115865A (ja) 加工物接着方法
JP2003039322A (ja) ポリシングパッドの修正用工具
JP2001334444A (ja) 薄板の曲面加工方法
JP2001053037A (ja) 半導体ウエハの平坦化装置
JPS6331886Y2 (ja)
CN115464484A (zh) 一种碳化硅晶片双面加工方法以及相应的装置
JP2002283218A (ja) 研磨布用ドレッサ
JPH02277235A (ja) 半導体ウェーハの研磨方法