JPH11145288A - 半導体集積回路装置およびその製造方法 - Google Patents

半導体集積回路装置およびその製造方法

Info

Publication number
JPH11145288A
JPH11145288A JP8141598A JP8141598A JPH11145288A JP H11145288 A JPH11145288 A JP H11145288A JP 8141598 A JP8141598 A JP 8141598A JP 8141598 A JP8141598 A JP 8141598A JP H11145288 A JPH11145288 A JP H11145288A
Authority
JP
Japan
Prior art keywords
insulating film
film
wiring
integrated circuit
circuit device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8141598A
Other languages
English (en)
Other versions
JP3660799B2 (ja
Inventor
Tadashi Ohashi
直史 大橋
Hide Yamaguchi
日出 山口
Junji Noguchi
純司 野口
Nobuo Owada
伸郎 大和田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP08141598A priority Critical patent/JP3660799B2/ja
Priority to TW87110273A priority patent/TW424294B/zh
Priority to US09/123,319 priority patent/US6184143B1/en
Priority to KR1019980036924A priority patent/KR100564188B1/ko
Publication of JPH11145288A publication Critical patent/JPH11145288A/ja
Priority to US09/669,672 priority patent/US6403459B1/en
Priority to US10/140,332 priority patent/US6730590B2/en
Application granted granted Critical
Publication of JP3660799B2 publication Critical patent/JP3660799B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

(57)【要約】 【課題】 CMP法により形成された第1金属配線上の
第2金属配線のショート不良を防止し、半導体集積回路
装置の歩留まりおよび信頼性を向上する。 【解決手段】 n形MISFETQnが形成された半導
体基板1の上層に層間絶縁膜11a,11bが形成さ
れ、層間絶縁膜11bに形成された配線溝15に埋め込
まれた配線14が銅等の金属膜の堆積とCMP法による
研磨によって形成された半導体集積回路装置において、
配線14および層間絶縁膜11b上に形成された層間絶
縁膜16をブロッキング層16a、平坦化層16bおよ
び絶縁膜16cで構成する。平坦化層16bとして自己
流動性を有する被膜、たとえばSOG膜を用いる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置の製造技術および半導体集積回路装置に関し、特に、
銅を主導電層とする配線であって、溝内への銅薄膜の堆
積後、CMP(Chemical Mechanical Polishing )法に
より溝領域以外の銅薄膜を除去して形成された配線を有
する半導体集積回路装置に適用して有効な技術に関する
ものである。
【0002】
【従来の技術】従来、半導体集積回路における配線層の
形成は、たとえば、昭和59年11月30日、株式会社
オーム社発行、「LSIハンドブック」、p253〜p
292に記載されているように、絶縁膜上にアルミニウ
ム(Al)合金またはタングステン(W)などの高融点
金属薄膜を成膜した後、フォトリソグラフィ工程により
配線用薄膜上に配線パターンと同一形状のレジストパタ
ーンを形成し、それをマスクとしてドライエッチング工
程により配線パターンを形成していた。
【0003】しかし、このAl合金等を用いる方法では
配線の微細化に伴い、配線抵抗の増大が顕著となり、そ
れに伴い配線遅延が増加し、半導体集積回路装置の性能
が低下する等の問題があった。特に高性能なロジックL
SIにおいては、その性能阻害要因として大きな問題が
生じている。
【0004】このため、最近では、1993 VMIC
(VLSI Multilevel Interconnection Conference)予稿
集、p15〜p21に記載されているように、絶縁膜に
形成した溝上に銅(Cu)を主導体層とする配線用金属
を埋め込んだ後、溝外部の余分な金属をCMP法(化学
機械研磨法)を用いて除去することにより溝内に配線パ
ターンを形成する方法(いわゆるダマシン法)が検討さ
れている。
【0005】また、特開平7−297183号公報に
は、半導体基板上に形成された絶縁層上に配線溝を形成
し、さらに絶縁膜を形成した後に導電性配線層を形成
し、配線溝を埋め込むようにSOG(Spin On Glass )
からなる平坦化層を形成し、平坦化層および導電性配線
層をポリッシングして導電性配線層からなる配線を配線
溝に形成する技術が記載されている。
【0006】
【発明が解決しようとする課題】しかし、絶縁膜に形成
した溝上に銅(Cu)等を主導体層とする配線用金属を
埋め込んだ後、溝外部の余分な金属をCMP法(化学機
械研磨法)を用いて除去する従来の技術では以下のよう
な問題を生ずる。この問題点を、図73を用いて説明す
る。図73は、本発明者らが検討した問題点を説明する
図面であり、(a)は平面図、(b)は(a)における
b−b断面図、(c)は(a)におけるc−c断面図で
ある。なお、図73では、問題となる配線層についての
み示し、その他の部材については省略している。
【0007】すなわち、絶縁膜201上に配線202を
形成するためには、まず、絶縁膜201上に配線形成用
の絶縁膜203を堆積し、この絶縁膜203に配線溝2
04を形成する。絶縁膜203には通常シリコン酸化膜
が用いられる。次に、配線溝204を埋め込むように絶
縁膜203上に配線202を構成する金属膜(たとえば
銅(Cu))を堆積し、配線溝204以外の絶縁膜20
3上の金属膜をCMP法により研磨して除去する。これ
により配線溝204内にのみ金属膜が残留し、配線20
2が形成される。しかし、絶縁膜203であるシリコン
酸化膜と配線202を構成する金属(たとえば銅)とで
は、一般的に、銅の方がCMPの研磨速度が大きい。こ
のため、配線202の表面部分に凹部205が発生す
る。この凹部205は、いわゆるディッシング(凹み)
の一種として知られるものである。また、CMPによる
研磨により絶縁膜203の表面にスクラッチ(傷)も発
生する。
【0008】このような凹部205あるいはスクラッチ
が存在する状態でその上層に絶縁膜206を形成する
と、絶縁膜206の表面にも凹部205あるいはスクラ
ッチに起因する凹部が発生する。この凹部が存在した状
態で、絶縁膜206にプラグ207をCMP法により形
成すると、絶縁膜206の表面の凹部にプラグ207を
構成する導電物質208が残留することとなる。すなわ
ち、プラグ207の形成は、絶縁膜206に開口した接
続孔の内部にプラグ207を構成する金属膜を埋め込む
とともに絶縁膜206上に金属膜を堆積し、この絶縁膜
206上の金属膜のCMP法による除去により接続孔内
にのみ金属膜を残留して形成するが、絶縁膜206の表
面に凹部(スクラッチに起因する凹部の含む)が存在す
ると、この凹部内にも金属膜の残留物である導電物質2
08が残留する。なお、スクラッチに起因する凹部にも
金属膜が残留する可能性があるが、図面では省略してい
る。
【0009】このような導電物質208の残留は、本来
意図するものではなく好ましくない。すなわち、プラグ
207上に絶縁膜209を形成し、この絶縁膜209の
配線溝に配線210を形成すると、本来絶縁されるべき
配線210間が導電物質208の存在により、電気的に
短絡され、半導体集積回路装置のショート不良が発生す
ることとなる。
【0010】なお、このようなショート不良は、プラグ
207を用いず、いわゆるデュアルダマシン法で配線を
形成する場合にも同様に発生する。
【0011】本発明の目的は、CMP法により形成され
た第1金属配線上の層間絶縁膜の表面平坦性を向上する
技術を提供することにある。
【0012】また、本発明の他の目的は、CMP法によ
り形成された第1金属配線上の第2金属配線のショート
不良を抑制し、半導体集積回路装置の歩留まりおよび信
頼性を向上することにある。
【0013】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0014】
【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
【0015】(1)本発明の半導体集積回路装置は、半
導体基板の主面に形成された半導体素子と、半導体素子
の上部に形成され、その一部に形成された凹部にCMP
法を用いて形成された導電性部材が埋め込まれた第1絶
縁膜と、第1絶縁膜の上面に形成され、その一部に形成
された凹部にCMP法を用いて形成された導電性部材が
埋め込まれた第2絶縁膜とを有する半導体集積回路装置
であって、第2絶縁膜には、自己流動性を有する流動性
絶縁膜が含まれるものである。
【0016】このような半導体集積回路装置によれば、
第1絶縁膜に埋め込まれた導電性部材がCMPによる研
磨によりディッシング(凹み)を発生し、また、第1絶
縁膜の表面がCMPによる研磨によりスクラッチ(傷)
を有するものであっても、第2絶縁膜には流動性絶縁膜
が含まれるためその表面が平坦化され、前記ディッシン
グやスクラッチの影響は第2絶縁膜の表面には現れず、
第2絶縁膜に埋め込む導電性部材のCMP法による形成
が確実に行われる。すなわち、流動性絶縁膜を形成しな
い場合に発生するであろう第2絶縁膜の表面の凹部に、
第2絶縁膜に埋め込まれる導電性部材の残留物が形成さ
れず、前記残留物に起因する第2絶縁膜の導電性部材間
のショート不良を防止することができる。この結果、半
導体集積回路装置の歩留まりと信頼性を向上することが
できる。
【0017】また、第2絶縁膜の表面に凹部が存在する
場合には、第2絶縁膜の導電性部材を形成するための被
膜を過剰に研磨する必要が生じるが、本発明ではそのよ
うな第2絶縁膜の表面の凹部が形成されないため、過剰
な研磨を必要としない。この結果、第2絶縁膜に埋め込
まれた導電性部材のディッシングを防止して、さらに上
部に形成される導電性部材の形成を上記と同様の理由に
より確実に形成してそのショート不良を防止することが
できる。
【0018】なお、第1または第2絶縁膜は、その凹部
に配線が形成される配線形成用絶縁膜または配線層間を
絶縁する配線層間絶縁膜とすることができ、凹部は、配
線形成用絶縁膜に形成された配線溝または配線層間絶縁
膜に形成された接続孔とすることができ、導電性部材
は、配線溝に形成された配線または接続孔に形成された
プラグとすることができる。
【0019】また、流動性絶縁膜は、配線形成用絶縁膜
の配線溝に形成された配線上に位置する配線層間絶縁膜
にのみ含まれる構成、または、配線層間絶縁膜の接続孔
に形成されたプラグ上に位置する配線形成用絶縁膜にの
み含まれる構成、あるいは、配線形成用絶縁膜の配線溝
に形成された配線上に位置する配線層間絶縁膜および配
線層間絶縁膜の接続孔に形成されたプラグ上に位置する
配線形成用絶縁膜の双方に含まれる構成、の何れかの構
成とすることができる。
【0020】また、凹部は、第1および第2絶縁膜の表
面近傍に形成された配線溝および配線溝の下部に形成さ
れた接続孔からなり、導電性部材は、配線溝に形成され
た配線部と接続孔に形成された接続部とが一体として形
成されたものとすることができる。すなわち、接続孔部
分と配線溝部分とが一体として形成された凹部内に、一
体的に形成された配線(いわゆるデュアルダマシン法に
よる配線)にも適用できる。
【0021】また、流動性絶縁膜が含まれる第2絶縁
膜、配線層間絶縁膜または配線形成用絶縁膜は、自己流
動性を有さない非流動性絶縁膜、流動性絶縁膜および非
流動性絶縁膜の3層構造を有するものであってもよい。
【0022】また流動性絶縁膜としては、SOG膜を用
いることができる。SOG膜としては、有機SOG膜、
無機SOG膜、およびポリシラザン系のSOG膜を例示
することができるが、特に無機SOG膜が好適である。
仮に流動性絶縁膜として有機SOG膜を用いれば、それ
が含まれる第2絶縁膜、配線層間絶縁膜または配線形成
用絶縁膜にフォトリソグラフィ技術を適用して加工を施
し、フォトリソグラフィのマスクとなるフォトレジスト
膜を酸素アッシングにより除去する際に有機SOG膜の
収縮が発生したり、また吸水性が強くなったりして半導
体集積回路装置の信頼性に好ましくない影響を生じる。
しかし、流動性絶縁膜として無機SOG膜を用いれば、
そのような不具合は生じない。
【0023】また、流動性絶縁膜としては、気相中にお
けるシラノールの生成と低温基板上でのシラノールの反
応とにより形成されるシリコン酸化膜とすることができ
る。
【0024】SOG膜は、大気雰囲気において塗布によ
り形成する被膜であるが、減圧雰囲気においてたとえば
シランガス(SiH4 )と過酸化水素(H2 2 )との
化合により形成されるシラノール(Hn Si(OH)
4-n )を基板表面に吸着させて被膜とする、気相中にお
けるシラノールの生成と低温基板上でのシラノールの反
応とによりシリコン酸化膜が形成される。このようなシ
リコン酸化膜も流動性絶縁膜として例示できる。ここで
はシラノール形成の原料ガスとしてシランガスを例示し
ているが、水素基(−H)がメチル基(−CH3 )、エ
チル基(−C2 5 )等のアルキル基に置換したメチル
シラン(ジメチルシラン、トリメチルシラン等)、ある
いはエチルシラン(ジエチルシラン、トリエチルシラン
等)であってもよい。
【0025】また、凹部、配線溝または接続孔の幅Wは
その最大幅Wmaxと最小幅Wminとの範囲内にあ
り、Wmax≦4×Wmin、の条件を満足するものと
することができる。
【0026】(2)本発明の半導体集積回路装置は、半
導体基板の主面に形成された半導体素子と、半導体素子
の上部に形成され、その一部に形成された凹部にCMP
法を用いて形成された導電性部材が埋め込まれた第1絶
縁膜と、第1絶縁膜の上面に形成され、その一部に形成
された凹部にCMP法を用いて形成された導電性部材が
埋め込まれた第2絶縁膜とを有する半導体集積回路装置
であって、第2絶縁膜には、CMP法により平坦化され
た絶縁膜が含まれているものである。
【0027】このような半導体集積回路装置によれば、
(1)に記載したと同様にCMP法により平坦化された
絶縁膜により第2絶縁膜の表面が平坦化され、第2絶縁
膜に埋め込まれる導電性部材の形成が確実に行われ、そ
のショート不良を防止することができる。また、第2絶
縁膜に埋め込まれる導電性部材の過剰な研磨を防止し
て、さらに上部に形成される導電性部材の形成を確実に
行いそのショート不良を防止することができ、この結
果、半導体集積回路装置の歩留まりと信頼性の向上を図
ることができることは前記(1)と同様である。
【0028】なお、前記(1)、(2)に記載の半導体
集積回路装置において、凹部または配線溝に形成された
配線の上面には、配線を構成する金属元素の拡散を防止
する拡散防止膜、たとえばプラズマCVD法により形成
されたシリコン窒化膜が形成されてもよい。これによ
り、配線間の層間絶縁膜の絶縁耐圧を確保して半導体集
積回路装置の信頼性を向上することができる。
【0029】(3)本発明の半導体集積回路装置の製造
方法は、半導体基板の主面に形成された半導体素子と、
半導体素子の上部に形成され、その一部に第1導電性部
材が埋め込まれた第1絶縁膜と、第1絶縁膜の上面に形
成され、その一部に第2導電性部材が埋め込まれた第2
絶縁膜とを有する半導体集積回路装置の製造方法であっ
て、(a)少なくとも半導体素子が形成された半導体基
板上に第1絶縁膜を堆積し、その一部に凹部を形成する
工程、(b)凹部の内部を含む第1絶縁膜の表面に、凹
部を埋め込む導電性膜を形成する工程、(c)導電性膜
をCMP法により研磨し、第1絶縁膜の凹部内にのみ導
電性膜を残して第1導電性部材を形成する工程、(d)
第1導電性部材の上部に自己流動性を有する流動性絶縁
膜を堆積する工程、(e)流動性絶縁膜を含む第2絶縁
膜に凹部を形成し、その凹部を埋め込む導電性膜を形成
した後、その導電性膜をCMP法により研磨し第2導電
性部材を形成する工程、を含むものである。
【0030】このような半導体集積回路装置の製造方法
によれば、前記(1)に記載の半導体集積回路装置を製
造することができる。
【0031】なお、流動性絶縁膜がSOG膜である場合
は、半導体基板にSOG膜を塗布し、熱処理することに
より形成することができる。
【0032】また、流動性絶縁膜が、気相中におけるシ
ラノールの生成と低温基板上でのシラノールの反応とに
より形成されるシリコン酸化膜である場合には、半導体
基板を減圧雰囲気下の反応室に100℃以下の低温で保
持し、反応室内にSiHx 4-x (ただしMは炭素数1
〜3のアルキル基、1≦x≦4)およびH2 2 を導入
してシラノールを生成し、シラノールが堆積した半導体
基板を熱処理することにより形成することができる。こ
の場合、アルキル基(−M)の炭素数が多くなるほど蒸
気圧が低下する。このため、反応室の壁面温度を高め、
半導体基板の温度を最も低い温度とすることができる。
これにより、低温に保持した半導体基板上へのアルキル
シラン(SiHx 4-x )の吸着が促進されてシラノー
ル生成の反応が半導体基板の表面付近で生じる確率が高
くなる。この結果、原料ガスの収率を高めることが可能
となる。なお、原料ガスは気相で供給することが好まし
いため、アルキル基の炭素数は3以下が好ましい。
【0033】また、第1導電性部材が形成される凹部の
幅Wは、その最大幅Wmaxがその最小幅Wminの4
倍以内(Wmin≦W≦4×Wmin)となるように形
成することができる。
【0034】また、前記(b)工程において第1絶縁膜
の凹部に埋め込まれた導電性膜は、最小幅Wminにお
ける凹部でのその標高H1と、最大幅Wmaxにおける
凹部でのその標高H2とがほぼ等しく(H1≒H2)、
かつ、標高H1およびH2は、第1絶縁膜の表面の標高
L1よりも高い(H1≒H2>L2)ものとすることが
できる。
【0035】このような半導体集積回路装置の製造方法
によれば、(b)工程で形成される第1導電性部材は、
第1絶縁膜の凹部の全領域に埋め込まれ、第1導電性部
材の表面自体が研磨され、平坦化されることとなる。こ
の点で、本発明は、前記特開平7−297183号公報
に記載された技術とは相違するものである。すなわち、
前記公報に記載された技術においては、配線溝の幅の広
い領域では導電性膜の表面高さが配線溝表面の標高より
も低く、そのため、SOG等の被膜により導電性膜を被
覆した後にこれらをポリッシングして配線溝に配線を形
成すると、配線表面の凹部にSOG膜が残留するもので
ある。しかし、本発明では、第1導電性部材を形成する
ための研磨を実施した後にSOG等の流動性絶縁膜を堆
積するものであり、前記公報に記載の製造方法とは、そ
の工程の順序において相違するとともに、前記のとおり
最小幅Wminにおける凹部でのその標高H1と、最大
幅Wmaxにおける凹部でのその標高H2とがほぼ等し
く(H1≒H2)、かつ、標高H1およびH2は、第1
絶縁膜の表面の標高L1よりも高い(H1≒H2>L
2)ものであるため、第1導電性部材(つまり前記公報
における配線)の形成工程自体が相違するものである。
したがって、このような相違する製造方法によって形成
された半導体集積回路装置自体、第1導電性部材の表面
にCMPによる凹部(ディッシング)が生じたとしても
そこにSOG膜等の流動性絶縁膜が残留しない点で前記
公報記載の技術により製造された半導体装置と相違す
る。
【0036】また、前記(c)工程において研磨された
第1導電性部材は、最小幅Wminにおける凹部での第
1導電性部材表面のディッシング量K1と、最大幅Wm
axにおける凹部での第1導電性部材表面のディッシン
グ量K2とがほぼ等しい(K1≒K2)。このような半
導体集積回路装置の製造方法は、前記H1≒H2の条件
から帰結される条件である。
【0037】また、流動性絶縁膜の堆積前にプラズマC
VD法または熱CVD法を用いたCVDシリコン酸化膜
を堆積し、その後流動性絶縁膜を堆積し、さらにCVD
酸化膜を堆積することにより第2絶縁膜を形成すること
ができる。
【0038】また、第1導電性部材の形成後、第1導電
性部材の表面を覆う拡散防止膜、たとえばシリコン窒化
膜を堆積することができる。
【0039】このような半導体集積回路装置の製造方法
によれば、第1導電性部材を構成するたとえば銅等の金
属元素の拡散を防止して半導体集積回路装置の信頼性を
向上できる。
【0040】(4)本発明の半導体集積回路装置の製造
方法は、半導体基板の主面に形成された半導体素子と、
半導体素子の上部に形成され、その一部に第1導電性部
材が埋め込まれた第1絶縁膜と、第1絶縁膜の上面に形
成され、その一部に第2導電性部材が埋め込まれた第2
絶縁膜とを有する半導体集積回路装置の製造方法であっ
て、(a)少なくとも半導体素子が形成された半導体基
板上に第1絶縁膜を堆積し、その一部に凹部を形成する
工程、(b)凹部の内部を含む第1絶縁膜の表面に、凹
部を埋め込む導電性膜を形成する工程、(c)導電性膜
をCMP法により研磨し、第1絶縁膜の凹部内にのみ導
電性膜を残して第1導電性部材を形成する工程、(d)
第1導電性部材の上部にシリコン酸化膜を堆積し、シリ
コン酸化膜をCMP法により研磨して平坦化する工程、
(e)シリコン酸化膜を含む第2絶縁膜に凹部を形成
し、その凹部を埋め込む導電性膜を形成した後、その導
電性膜をCMP法により研磨し第2導電性部材を形成す
る工程、を含むものである。
【0041】このような半導体集積回路装置の製造方法
によれば、前記(2)に記載した半導体集積回路装置を
製造することができる。なお、第2絶縁膜に含まれるシ
リコン酸化膜はCMP法により平坦化されるため、自己
流動性を有する必要はなく、プラズマCVD法、TEO
S(テトラメトキシシラン)等を用いたCVD法等によ
り形成されたものであってもよい。
【0042】
【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の部材には同一の符号を付
し、その繰り返しの説明は省略する。
【0043】(実施の形態1)図1は、本発明の一実施
の形態である半導体集積回路装置の一例を示した断面図
である。
【0044】本実施の形態1の半導体集積回路装置は、
たとえば、SOI(Silicon On Insulator)絶縁層2お
よびU溝素子分離領域3を有する半導体基板1のpウェ
ル4にnチャネルMISFET(Metal Insulator Semi
conductor Field Effect Transistor )Qnが形成され
たものである。SOI絶縁層2、U溝素子分離領域3
は、たとえばシリコン酸化膜で構成される。
【0045】nチャネルMISFETQnは、半導体基
板1の主面上にゲート絶縁膜6を介して形成されたゲー
ト電極7と、ゲート電極7の両側の半導体基板1の主面
に形成された不純物半導体領域8とを有するものであ
り、ゲート電極7の側面および上面にはサイドウォール
スペーサ9およびキャップ絶縁膜10がそれぞれ形成さ
れている。
【0046】ゲート絶縁膜6は、数nmの膜厚を有する
シリコン酸化膜からなりたとえば熱CVD法または熱酸
化法により形成することができる。
【0047】ゲート電極7は、たとえば低抵抗多結晶シ
リコン膜からなり、その上層にシリサイド層あるいはタ
ングステン等の金属層を形成して低抵抗化を図ってもよ
い。
【0048】不純物半導体領域8は、nチャネルMIS
FETQnのソース・ドレイン領域として機能するもの
であり、たたえばリン(P)またはヒ素(As)等のn
形不純物が高濃度に導入されている。
【0049】ゲート電極7および不純物半導体領域8の
上部には、WSix 、MoSix 、TiSix 、TaS
x などの高融点金属シリサイド膜を積層したシリサイ
ド膜を形成してもよい。
【0050】サイドウォールスペーサ9およびキャップ
絶縁膜10は、たとえばシリコン酸化膜あるいはシリコ
ン窒化膜とすることができ、シリコン窒化膜を用いる場
合には、そのシリコン窒化膜からなるサイドウォールス
ペーサ9およびキャップ絶縁膜10をマスクとして用
い、後に説明する層間絶縁膜に自己整合的に接続孔を開
口することができる。
【0051】半導体基板1およびnチャネルMISFE
TQnの上部には層間絶縁膜11aが形成されている。
層間絶縁膜11aとして、BPSG(Boron-doped Phos
pho-Silicate Glass)膜またはPSG(Phospho-Silica
te Glass)膜等のリフロー膜を用いることができるが、
層間絶縁膜11aの下部もしくは上部にCVD法または
スパッタ法により形成されたシリコン酸化膜との積層膜
とすることもできる。層間絶縁膜11aは、堆積された
後、たとえばCMP法により研磨され、その表面が平坦
化されている。
【0052】不純物半導体領域8上の層間絶縁膜11a
には接続孔12が設けられ、接続孔12には、たとえば
スパッタ法により形成されたタングステン膜13a、お
よびたとえばブランケットCVD法あるいは選択CVD
法により形成されたタングステンからなる金属プラグ1
3bが形成されている。
【0053】層間絶縁膜11aの上層には、層間絶縁膜
11b(第1層間絶縁膜)が形成され、配線14が層間
絶縁膜11bに形成された配線溝15内に形成されてい
る。
【0054】層間絶縁膜11bは、たとえばCVD法ま
たはスパッタ法で形成されたシリコン酸化膜で構成され
る。なお、層間絶縁膜11bの表面にはスクラッチ11
c(傷)が形成されている。これは、後に説明するよう
に、配線14の形成の際に行われるCMP法による研磨
において、確実に層間絶縁膜11bの表面の金属膜が除
去されるようにある程度のオーバー研磨を行うために形
成されるものであり、CMPの研磨剤による引っかき傷
である。
【0055】配線14は、主導電層14aと窒化チタン
膜14bとからなる。主導電層14aは、たとえば銅で
構成されるが、これに限られず、アルミニウムまたはタ
ングステンあるいはこれらの合金であってもよい。これ
ら低抵抗率の低い材料を主な導電層とすることにより配
線14の微細化に伴う配線抵抗の上昇を抑制することが
できる。これにより半導体集積回路装置の高性能化を達
成することができる。窒化チタン膜14bは、主導電層
14aを構成する材料たとえば銅の拡散を防止するブロ
ッキング膜として作用させることができ、窒化チタン膜
の他、たとえば、タンタル膜、窒化タンタル膜、窒化タ
ングステン膜、スパッタタングステン膜、あるいはこれ
らのシリコンとの化合物とすることもできる。
【0056】配線14の上面にはディッシング14c
(凹み)が形成されている。これは、配線14の形成が
後に説明するようにCMP法による研磨により形成さ
れ、配線14を構成する金属材料と層間絶縁膜11bを
構成するシリコン酸化膜とのCMPによる研磨速度に相
違により発生するものである。すなわち、金属の方がシ
リコン酸化膜と比較してCMPの研磨速度が大きく、配
線14を確実に形成するためにある程度のオーバー研磨
を行った場合には、シリコン酸化膜と比較して金属が速
く研磨され、相対的に凹んだ表面を形成することとな
る。
【0057】配線14および層間絶縁膜11bの上面に
は層間絶縁膜16が形成されている。層間絶縁膜16
は、配線14および層間絶縁膜11bに接して形成され
たブロッキング層16a、平坦化層16bおよび絶縁膜
16cから構成される。
【0058】ブロッキング層16aは、たとえばプラズ
マCVD法により形成されたシリコン窒化膜とすること
ができ、配線14の主導電層14aを構成する銅の拡散
を抑制する機能を有する。これにより窒化チタン膜14
bの効果とともに層間絶縁膜11a、11b、16への
銅の拡散を防止してそれらの絶縁性を保持し、半導体集
積回路装置の信頼性を高めることができる。なお、ブロ
ッキング層16aの膜厚は、シリコン窒化膜を用いた場
合には約100nmとすることができる。
【0059】平坦化層16bは、自己流動性を有する被
膜たとえばSOG(Spin On Glass)膜からなり、スク
ラッチ11cおよびディッシング14cの影響を除去し
てその表面を平坦化することができる。このように平坦
化層16bを有することにより層間絶縁膜16の表面の
平坦性を確保することができ、後に説明するように層間
絶縁膜16に埋め込む第2層配線の形成の際に金属膜の
残留物の形成を防止して第2層配線のショート不良を防
止し、半導体集積回路装置の歩留まりと信頼性を向上す
ることができる。
【0060】絶縁膜16cは、たとえばCVD法により
形成されたシリコン酸化膜とすることができ、層間絶縁
膜16の膜厚を確保する機能を有する。したがって、平
坦化層16bにより層間絶縁膜16の十分な膜厚が確保
できる場合には必須ではない。
【0061】層間絶縁膜16には配線溝17が形成さ
れ、配線溝17内には第2金属配線である配線18が形
成されている。なお、配線溝17の一部は、その下部に
形成されている配線14に接続するための接続孔も含ま
れる。すなわち、配線溝と接続孔とを形成し、その配線
溝および接続孔内を含む基板に金属膜を堆積して、たと
えばCMP法により配線溝以外の領域の金属膜を除去し
て接続配線および配線を一体的に形成するいわゆるデュ
アルダマシン法で形成されている。
【0062】配線18は配線14と同様に、主導電層1
8aと窒化チタン膜18bとからなる。主導電層18a
は、たとえば銅を例示することができるが、アルミニウ
ム、タングステンまたはそれらの合金であってもよい。
これら低抵抗率の低い材料を主な導電層とすることによ
り配線18の微細化に伴う配線抵抗の上昇を抑制するこ
とができる。これにより半導体集積回路装置の高性能化
を達成することができる。窒化チタン膜18bは、主導
電層18aを構成する材料たとえば銅の拡散を防止する
ブロッキング膜として作用させることができ、窒化チタ
ン膜の他、たとえば、タンタル膜、窒化タンタル膜、窒
化タングステン膜、スパッタタングステン膜、あるいは
これらのシリコンとの化合物とすることもできる。
【0063】なお、配線18は後に説明するようにCM
P法を用いた研磨により層間絶縁膜16上に形成された
金属膜を除去して形成されるが、層間絶縁膜16の表面
の平坦性は前記したとおり確保されているため、その表
面には配線溝17以外の凹部は存在せず、よって配線1
8以外の金属膜の残留物が形成されない。このため、金
属残留物に起因する配線18のショート不良は発生せ
ず、半導体集積回路装置の歩留まりと信頼性の向上を図
ることができる。また、層間絶縁膜16の表面が十分に
平坦であるため、配線18を形成するためのCMPによ
る研磨において、過剰なオーバー研磨は必要でない。こ
の結果、配線18のディッシングを抑制してさらに多層
な配線(第3金属配線等)を形成した場合の上層配線の
ショート不良を防止し、半導体集積回路装置の歩留まり
と信頼性を向上できる。
【0064】配線18上に層間絶縁膜16および配線1
8同様の層間絶縁膜および配線を形成してさらに多層に
構成してもよい。この場合、平坦化層16bと同様の平
坦化層を設けて上層配線の加工を確実に行うことができ
ることは配線18の場合と同様である。
【0065】次に、上記した半導体集積回路装置の製造
方法を図に従って説明する。図2〜図15は、本発明の
一実施の形態である半導体集積回路装置の製造方法の一
例をその工程順に示した断面図である。
【0066】まず、高濃度酸素注入法等により形成され
たSOI絶縁層2を有するp- 形の単結晶シリコンから
なる半導体基板1を用意し、p形の導電形にするための
不純物、たとえばボロンをイオン注入等によりドープし
てpウェル4を形成する。pウェル4は、高濃度酸素注
入法におけるエピタキシャル成長時に不純物ガスを混入
し、ドーピングを行ってもよい。
【0067】次に、半導体基板1の主面に、SOI絶縁
層2に達するU溝を形成し、その後たとえばシリコン酸
化膜を堆積した後CMP法等を用いて余分なシリコン酸
化膜を除去して、前記U溝にシリコン酸化膜を埋め込
み、U溝素子分離領域3を形成する(図2)。
【0068】次に、半導体基板1の主面上にゲート絶縁
膜6となるシリコン酸化膜、ゲート電極7となる多結晶
シリコン膜およびキャップ絶縁膜10となるシリコン酸
化膜を順次堆積して積層膜を形成し、フォトリソグラフ
ィによりパターニングされたレジストをマスクとして前
記積層膜をエッチングし、ゲート絶縁膜6、ゲート電極
7およびキャップ絶縁膜10を形成する(図3)。ゲー
ト絶縁膜6はたとえば熱CVD法により堆積することが
でき、ゲート電極7はCVD法により形成することがで
きるが、その抵抗値を低減するためにn形の不純物(例
えばP)をドープしてもよい。なお、ゲート電極7の上
部にWSix 、MoSix 、TiSix、TaSix
どの高融点金属シリサイド膜を積層してもよい。キャッ
プ絶縁膜10はたとえばCVD法により堆積することが
できる。
【0069】次に、半導体基板1上にCVD法で酸化シ
リコン膜を堆積した後、反応性イオンエッチング(RI
E)法でこの酸化シリコン膜を異方性エッチングするこ
とにより、ゲート電極7の側壁にサイドウォールスペー
サ9を形成し、n形不純物(リン)をイオン注入してゲ
ート電極7の両側のpウェル4にnチャネルMISFE
TQnのソース、ドレイン領域を構成する不純物半導体
領域8を形成する(図4)。なお、サイドウォールスペ
ーサ9の形成前に低濃度の不純物半導体領域を形成し、
サイドウォールスペーサ9の形成後に高濃度の不純物半
導体領域を形成してもよい。
【0070】次に、半導体基板1上にスパッタ法または
CVD法で酸化シリコン膜を堆積した後、たとえば酸化
シリコン膜をCMP法で研磨することにより、その表面
が平坦化された層間絶縁膜11aを形成する。さらに、
半導体基板1の主面の不純物半導体領域8上の層間絶縁
膜11aに、公知のフォトリソグラフィ技術を用いて接
続孔12を開口する(図5)。
【0071】次に、スパッタ法によりタングステン膜1
3aを堆積し、さらにブランケットCVD法によりタン
グステン膜13cを堆積する(図6)。
【0072】次に、接続孔12以外の層間絶縁膜11a
上のタングステン膜13cおよびタングステン膜13a
をたとえばエッチバック法により除去し、金属プラグ1
3bを形成する(図7)。
【0073】次に、スパッタ法またはCVD法で酸化シ
リコン膜を堆積して層間絶縁膜11bを形成し、さらに
公知のフォトリソグラフィ技術およびエッチング技術を
用いて加工し、配線溝15を形成する(図8)。なお、
ここでは、スパッタ法またはCVD法で形成されたシリ
コン酸化膜を例示しているが、SOG等の塗布膜、有機
膜、フッ素を添加したCVDシリコン酸化膜、シリコン
窒化膜、その他複数種の絶縁膜を積層した多層膜であっ
てもよい。また、配線溝15は後に配線材料を埋め込ん
で配線14としたい領域に形成される。なお、本実施の
形態1では、金属プラグ13を形成した後に配線溝15
を形成しているが、接続孔12を開口した後に配線溝1
5を形成し、その後金属プラグ13を形成してもよい。
【0074】次に、半導体基板1の全面に配線14の窒
化チタン膜14bとなる窒化チタン膜14bを堆積する
(図9)。窒化チタン膜14bは、たとえばCVD法あ
るいはスパッタ法により堆積することができる。窒化チ
タン膜14bの堆積は、後に説明する銅膜の密着性の向
上および銅の拡散防止のために行うものである。なお、
本実施の形態1では窒化チタン膜を例示するが、タンタ
ル等の金属膜あるいは窒化タンタル膜等であってもよ
い。また、次工程である主導電層14aの堆積直前に窒
化チタン膜14bの表面をスパッタエッチすることも可
能である。このようなスパッタエッチにより、窒化チタ
ン膜14bの表面に吸着した水、酸素分子等を除去し、
主導電層14aの接着性を改善することができる。特
に、窒化チタン膜14bの堆積後、真空破壊して表面を
大気に曝し、その主導電層14aを堆積する場合に効果
が大きい。
【0075】次に主導電層14aとなる金属、たとえば
銅の薄膜を堆積し、これを熱処理して流動化し、配線溝
15に良好に埋め込まれた金属膜19を形成する(図1
0)。銅膜の堆積は、通常のスパッタ法を用いることが
できるが、蒸着法等の物理的気相成長法を用いてもよ
い。また、熱処理の条件は、金属膜19を構成する銅が
流動化する温度および時間を必要とし、たとえば、35
0℃〜400℃、3分〜5分を例示することができる。
【0076】次に、層間絶縁膜11b上の余分な窒化チ
タン膜14bおよび金属膜19を除去し、配線14を構
成する主導電層14aおよび窒化チタン膜14bを形成
する(図11)。窒化チタン膜14bおよび金属膜19
の除去は、CMP法を用いた研磨により行う。配線14
の形成にCMP法を用いるため、配線14の表面は層間
絶縁膜11bの表面に比較して凹んだ状態であるディッ
シング14cが形成され、また、層間絶縁膜11bの表
面には、CMPの研磨剤等による引っかき傷であるスク
ラッチ11cが形成される。
【0077】次に、配線14および層間絶縁膜11b上
にシリコン窒化膜を堆積してブロッキング層16aを形
成する(図12)。シリコン窒化膜の堆積には、たとえ
ばプラズマCVD法を用いることができる。膜厚は約1
00nmとする。
【0078】次に、自己流動性を有した被膜であるSO
G膜を塗布し、さらに400℃程度の熱処理を行ってキ
ュアし、その表面が平坦化された平坦化層16bを形成
する(図13)。SOG膜として、有機あるいは無機の
SOG膜を用いることができる。また、ポリシラザン系
のSOG膜を用いることも可能である。ポリシラザン系
のSOG膜の場合には、耐熱性を有し、半導体集積回路
装置の信頼性を向上することができる。
【0079】次に、絶縁膜16cを堆積して層間絶縁膜
16を完成する。絶縁膜16cは、たとえばCVD法に
よるシリコン酸化膜とすることができる。絶縁膜16c
の表面すなわち層間絶縁膜16の表面は、平坦化層16
bの存在により平坦性が確保されている。その後、配線
溝17を形成する(図14)。配線溝17には下層配線
である配線14と接続するための接続孔も含まれてい
る。
【0080】次に、配線14の場合と同様に、配線18
の一部となる窒化チタン膜18bを堆積し、さらに主導
電層18aとなる金属、たとえば銅の薄膜を堆積し、こ
れを熱処理して流動化し、配線溝17に良好に埋め込ま
れた金属膜20を形成する(図15)。窒化チタン膜1
8bおよび金属膜20については、前記した窒化チタン
膜14bおよび金属膜19と同様であるため説明を省略
する。
【0081】最後に、層間絶縁膜16上の金属膜20お
よび窒化チタン膜18bを除去して配線18を形成し、
図1に示す半導体集積回路装置がほぼ完成する。この金
属膜20および窒化チタン膜18bの除去にはCMP法
が使用される。本実施の形態では、層間絶縁膜16の表
面の平坦性が確保されているため、配線18の形成のた
めにCMPを行っても、層間絶縁膜16の表面には意図
しない凹部が存在しないため、意図しない金属膜20あ
るいは窒化チタン膜18bの残留物が生じることがな
い。このため、このような残留物に起因する配線18の
ショート不良の発生が防止され、半導体集積回路装置の
歩留まりと信頼性の向上を図ることができる。
【0082】また、層間絶縁膜16の表面の平坦性が確
保されているため、過剰なCMPのオーバー研磨を行わ
なくとも配線18の形成を確実に行うことができ、過剰
研磨を防止することができる。このため、配線18表面
のディッシングを抑制してその上層に形成される配線の
ショート不良を防止し、半導体集積回路装置の歩留まり
と信頼性を向上できる。
【0083】なお、本実施の形態1では、平坦化層16
bとして、SOG膜を例示したが、気相中におけるシラ
ノール(Hn Si(OH)4-n )の生成と低温基板上で
のシラノールの反応とにより形成されるシリコン酸化膜
とすることもできる。このようなシリコン酸化膜は、減
圧雰囲気においてたとえばシランガス(SiH4 )と過
酸化水素(H2 2 )との化合によりシラノールを形成
し、これを基板表面に吸着、反応させて被膜とした後、
450℃以下の熱処理を行ってキュアすることにより形
成することができる。このようなシラノールの吸着、反
応により堆積した被膜は自己流動性を有するものであ
り、前記したSOG膜と同様に、層間絶縁膜16の表面
の平坦性を確保することができる。
【0084】なお、シラノール形成の原料ガスとして、
シランガス以外に、水素基(−H)がメチル基(−CH
3 )、エチル基(−C2 5 )等のアルキル基に置換し
たメチルシラン(ジメチルシラン、トリメチルシラン
等)、あるいはエチルシラン(ジエチルシラン、トリエ
チルシラン等)等のアルキルシラン(SiHx
4-x (ただしMは炭素数1〜3のアルキル基、1≦x≦
4))を例示できる。アルキル基の炭素数を3以下とす
るのは、低温に保持した半導体基板上へのアルキルシラ
ン(SiHx 4-x )の吸着が増大することによるシラ
ノール生成反応の促進と原料ガスを気相で供給すること
の利便性とを考慮するためである。
【0085】(実施の形態2)図16は、本発明の他の
実施の形態である半導体集積回路装置の一例を示した断
面図である。
【0086】本実施の形態2の半導体集積回路装置は、
実施の形態1における半導体集積回路装置の層間絶縁膜
16を層間絶縁膜21に置き換えたものであり、その他
の部材は実施の形態1と同様である。したがってそれら
同様の部材についての説明は省略する。
【0087】層間絶縁膜21は、実施の形態1における
ブロッキング層16aと同様なブロッキング層21aお
よびCVD法により堆積されCMP法により平坦化され
たシリコン酸化膜21bとからなる。ブロッキング層2
1aは、実施の形態1のブロッキング層16aと同様に
約100nmの膜厚を有するシリコン窒化膜からなる。
シリコン酸化膜21bはそれ自体十分な膜厚を有するも
のであるため実施の形態1の層間絶縁膜16のように絶
縁膜16cは形成されていない。しかし、シリコン酸化
膜21bの膜厚を薄くし、実施の形態1と同様に絶縁膜
16cに相当する絶縁膜を形成して十分な膜厚の層間絶
縁膜21を形成してもよい。
【0088】次に、上記した半導体集積回路装置の製造
方法を図に従って説明する。図17〜図20は、本発明
の他の実施の形態である半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【0089】本実施の形態2の半導体集積回路装置の製
造方法は、実施の形態1における図11の工程までは同
様である。
【0090】その後、実施の形態1のブロッキング層1
6aと同様にシリコン窒化膜を堆積し、ブロッキング層
21aを形成する。さらに十分な膜厚を有したシリコン
酸化膜22をたとえばCVD法により形成する(図1
7)。ここで、CVD法により形成されたシリコン酸化
膜22は、自己流動性を有する膜ではないためその表面
にはディッシング14cおよびスクラッチ11cに起因
する凹部23が形成されている。
【0091】次に、シリコン酸化膜22をCMP法によ
り研磨する(図18)。これにより、シリコン酸化膜2
2の表面に形成された凹部23を消失させてシリコン酸
化膜21bを形成し、その表面が平坦な層間絶縁膜21
を形成する。また、本実施の形態2の製造方法では、C
MP法を用いるため、凹部23を消失させることができ
ることに加えて、半導体基板1の全体での平坦性を向上
することができる。
【0092】次に、実施の形態1と同様に、層間絶縁膜
21に配線溝17を形成し(図19)、実施の形態1と
同様に窒化チタン膜18bおよび主導電層18aとなる
金属膜20を形成する(図20)。
【0093】最後に、層間絶縁膜21上の金属膜20お
よび窒化チタン膜18bを除去して配線18を形成し、
図16に示す半導体集積回路装置がほぼ完成する。この
金属膜20および窒化チタン膜18bの除去には、実施
の形態1と同様にCMP法が使用される。本実施の形態
では、層間絶縁膜21の表面の平坦性が確保されている
ため、配線18の形成のためにCMPを行っても、層間
絶縁膜21の表面に意図しない金属膜20あるいは窒化
チタン膜18bの残留物が生じることがない。このた
め、このような残留物に起因する配線18のショート不
良の発生が防止され、半導体集積回路装置の歩留まりと
信頼性の向上を図ることができる。
【0094】また、層間絶縁膜21の表面の平坦性が確
保されているため、過剰なCMPのオーバー研磨を行わ
なくとも配線18の形成を確実に行うことができ、過剰
研磨を防止することができる。このため、配線18表面
のディッシングを抑制してその上層に形成される配線の
ショート不良を防止し、半導体集積回路装置の歩留まり
と信頼性を向上できる。
【0095】(実施の形態3)図21は、本発明のさら
に他の実施の形態である半導体集積回路装置の一例を示
した断面図である。
【0096】本実施の形態3の半導体集積回路装置は、
半導体基板101上に形成されたnチャネルMISFE
TQnおよびpチャネルMISFETQpを有する。半
導体素子であるnチャネルMISFETQnおよびpチ
ャネルMISFETQpは、CMISFET(Complime
ntary-MISFET)を構成して半導体集積回路を構成するこ
とができ、半導体集積回路には、図示はしないが、抵
抗、コンデンサ等の受動素子を含めることができる。な
お、本実施の形態ではCMISFETを例示するが、n
チャネルMISFETQnまたはpチャネルMISFE
TQpの単一チャネルのMISFETで半導体集積回路
を構成してもよい。さらに、本実施の形態ではMISF
ETを例示するが、バイポーラトランジスタまたはBi
−CMISFET等他のトランジスタ構造の半導体素子
を用いて半導体集積回路を構成してもよい。
【0097】半導体基板101には、その主面近傍に素
子分離領域102が形成され、素子分離領域102で囲
まれた活性領域には、p型の不純物(たとえばボロン
(B))が低濃度に導入されたp型ウェル103および
n型の不純物(たとえばリン(P)、ヒ素(As))が
低濃度に導入されたn型ウェル104が形成されてい
る。nチャネルMISFETQnはp型ウェル103の
活性領域主面に、pチャネルMISFETQpは、n型
ウェル104の活性領域主面に形成されている。素子分
離領域102は、半導体基板101の主面の浅溝内に形
成され、たとえばシリコン酸化膜からなる。なお、半導
体基板101を実施の形態1に説明したようなSOI基
板としてもよいことは言うまでもない。
【0098】nチャネルMISFETQnは、p型ウェ
ル103の主面上にゲート絶縁膜105を介して形成さ
れたゲート電極106と、ゲート電極106の両側の半
導体基板101の主面に形成されたn型の半導体領域1
07とを有するものである。また、pチャネルMISF
ETQpは、n型ウェル104の主面上にゲート絶縁膜
105を介して形成されたゲート電極106と、ゲート
電極106の両側の半導体基板101の主面に形成され
たp型の半導体領域108とを有するものである。
【0099】ゲート絶縁膜105は、数nmの膜厚を有
するシリコン酸化膜からなりたとえば熱酸化法または熱
CVD法により形成することができる。ゲート電極10
6は、たとえば低抵抗多結晶シリコン膜からなり、その
上層に、タングステン(W)、コバルト(Co)等のシ
リサイド層、あるいは窒化チタン(TiN)、窒化タン
グステン(WN)等のバリアメタルを介したタングステ
ン(W)、モリブデン(Mo)、チタン(Ti)、タン
タル(Ta)等の金属層を形成して低抵抗化を図っても
よい。
【0100】半導体領域107、108は、nチャネル
MISFETQn、pチャネルMISFETQpのソー
ス・ドレイン領域として機能するものである。半導体領
域107にはn型不純物(たとえばリンまたはヒ素)が
導入され、半導体領域108にはp型不純物(たとえば
ボロン)が導入されている。半導体領域107、108
は、不純物が低濃度に導入された低濃度半導体領域と、
不純物が高濃度に導入された高濃度半導体領域とからな
るいわゆるLDD(Lightly Doped Drain )構造として
もよい。また、半導体領域107、108の上部に、W
Six 、MoSix 、TiSix 、TaSix などの高
融点金属シリサイド膜を積層したシリサイド膜を形成し
てもよい。
【0101】ゲート電極106の側面および上面にはサ
イドウォールスペーサ109およびキャップ絶縁膜11
0がそれぞれ形成されている。サイドウォールスペーサ
109およびキャップ絶縁膜110は、たとえばシリコ
ン酸化膜あるいはシリコン窒化膜とすることができ、シ
リコン窒化膜を用いる場合には、そのシリコン窒化膜か
らなるサイドウォールスペーサ109およびキャップ絶
縁膜110をマスクとして用い、後に説明する層間絶縁
膜に自己整合的に接続孔を開口することができる。
【0102】半導体基板101、nチャネルMISFE
TQnおよびpチャネルMISFETQpの上部には層
間絶縁膜111が形成されている。層間絶縁膜111と
して、BPSG膜またはPSG膜等のリフロー膜を用い
ることができるが、層間絶縁膜111の下部もしくは上
部にCVD法またはスパッタ法により形成されたシリコ
ン酸化膜との積層膜とすることもできる。層間絶縁膜1
11は、たとえばCMP法によりその表面が平坦化され
ている。
【0103】半導体領域107、108上の層間絶縁膜
111には、接続孔112が設けられ、接続孔112に
は、たとえばスパッタ法により形成されたタングステン
膜113a、およびたとえばブランケットCVD法ある
いは選択CVD法により形成されたタングステン膜11
3bからなるプラグ113が形成されている。
【0104】層間絶縁膜111の上層には、第1層配線
M1が形成されている。第1層配線M1には、たとえば
フォトリソグラフィ技術でパターニングされたタングス
テン膜を用いることができる。第1層配線M1は、プラ
グ113を介して半導体領域107、108に電気的に
接続される。なお、第1層配線M1にタングステン材料
を用いるため、第1層配線M1を構成する元素の半導体
基板への拡散の問題がなく、高い信頼性の半導体集積回
路装置を構成できる。
【0105】第1層配線M1および層間絶縁膜111の
上層には、第1層配線M1と後に説明する第2層配線M
2との層間を絶縁する配線層間絶縁膜114が形成され
ている。配線層間絶縁膜114は、たとえばCVD法で
形成されたシリコン酸化膜をCMP法により研磨するこ
とにより、その表面が平坦化されて構成される。配線層
間絶縁膜114には、接続孔115が形成され、接続孔
115には、たとえばスパッタ法により形成されたタン
グステン膜116a、およびたとえばブランケットCV
D法あるいは選択CVD法により形成されたタングステ
ン膜116bからなるプラグ116が形成されている。
なお、タングステン膜116bに代えて、スパッタ法ま
たはCVD法で形成された窒化チタン膜を用いることが
できる。
【0106】配線層間絶縁膜114上には、第2層配線
M2を形成するための配線形成用絶縁膜117が形成さ
れている。配線形成用絶縁膜117は、たとえばCVD
法で形成されたシリコン酸化膜とすることができる。な
お、配線形成用絶縁膜117表面にはスクラッチによる
傷が形成されるが、図示は省略している。これは、後に
説明するように、第2層配線M2の形成の際に行われる
CMP法による研磨において、確実に配線形成用絶縁膜
117の表面の金属膜が除去されるようにある程度のオ
ーバー研磨を行うために形成されるものであり、CMP
の研磨剤による引っかき傷である。
【0107】配線形成用絶縁膜117には、配線溝11
8が形成され、配線溝118には、第2層配線M2が形
成されている。第2層配線M2は、たとえば窒化チタン
(TiN)からなるバリア層119aと、たとえば銅
(Cu)からなる主導電層119bとからなる。このよ
うに主導電層119bを抵抗率の小さな銅等の材料を用
いるため、第2配線層M2の抵抗値を低減でき、半導体
素子間の配線抵抗を低減して回路の遅延時間を短縮し、
半導体集積回路装置の応答速度を向上して半導体集積回
路装置の性能を向上できる。
【0108】なお、バリア層119aとしては、窒化チ
タンに代えてタンタル(Ta)、窒化タングステン(W
N)、窒化タンタル(TaN)、酸化タンタル(Ta
O)、酸窒化シリコン(SiON)を用いることができ
る。また、主導電層119bには、銅に代えてアルミニ
ウム(Al)、タングステン(W)を用いることができ
る。バリア層119aは、主導電層119bを構成する
金属元素の拡散を防止して、配線間の絶縁性を確保し、
半導体集積回路装置の性能および信頼性を高く保つ機能
を持つ。
【0109】また、第2層配線M2は、後に説明するよ
うに、CMP法を用いて形成されるものであるが、配線
形成用絶縁膜117を構成する材料(たとえばシリコン
酸化膜)と第2層配線M2を構成する材料(たとえば銅
および窒化チタン)とのCMP法による研磨速度の相違
に起因して、その表面に窪んだ形状の凹部(ディッシン
グ部)120が形成されている。すなわち、第2層配線
M2を確実に形成するためにある程度のオーバー研磨を
行った場合には、シリコン酸化膜と比較して銅等が速く
研磨され、相対的に凹んだ表面を形成することとなる。
このような凹部120の存在と、これに起因する問題点
は、前記したとおりである。
【0110】配線形成用絶縁膜117および第2層配線
M2の表面には、配線層間絶縁膜121が形成されてい
る。配線層間絶縁膜121は、第2層配線M2および配
線形成用絶縁膜117に接して形成されたブロッキング
層121a、平坦化層121bおよび絶縁膜121cか
ら構成される。
【0111】ブロッキング層121aは、たとえばプラ
ズマCVD法により形成されたシリコン窒化膜とするこ
とができ、第2層配線M2の主導電層119bを構成す
る銅の拡散を抑制する機能を有する。これにより窒化チ
タンからなるバリア層119aの効果とともに銅の拡散
を防止して配線層間絶縁膜114、配線形成用絶縁膜1
17、配線層間絶縁膜121等の絶縁性を保持し、半導
体集積回路装置の信頼性を高めることができる。なお、
ブロッキング層121aの膜厚は、シリコン窒化膜を用
いた場合には約100nmとすることができる。また、
ブロッキング層121aとしては、シリコン窒化膜に代
えて、シリコン酸窒化膜を用いることもできる。
【0112】平坦化層121bは、自己流動性を有する
被膜たとえばSOG膜からなり、凹部120の影響を除
去してその表面を平坦化することができる。このように
平坦化層121bを有することにより配線層間絶縁膜1
21の表面の平坦性を確保することができ、後に説明す
るように配線層間絶縁膜121に埋め込むプラグあるい
は上層配線の形成の際に金属膜の残留物の形成を防止し
て上層配線間のショート不良を防止し、半導体集積回路
装置の所定の性能を確保し、歩留まりと信頼性を向上す
ることができる。
【0113】なお、平坦化層121bは、無機SOG膜
とすることができる。これにより、後に説明する平坦化
層121bへの接続孔の開口行程におけるフォトレジス
ト膜の剥離の際に、平坦化層121bへの吸水性の付与
あるいは平坦化層121bの体積減少が発生せず、半導
体集積回路装置の信頼性を向上できる。一方、平坦化層
121bは、有機SOG膜とすることもできる。これに
より、接続孔開口工程における前記不具合はあるもの
の、有機SOG膜の低誘電率を利用して、配線間の線間
容量を低減し、半導体集積回路装置の高速化を図ってそ
の性能を向上できる。
【0114】絶縁膜121cは、たとえばCVD法によ
り形成されたシリコン酸化膜とすることができ、配線層
間絶縁膜121の膜厚を確保する機能を有する。したが
って、平坦化層121bにより配線層間絶縁膜121の
十分な膜厚が確保できる場合には必須ではない。
【0115】なお、配線層間絶縁膜121には、ブロッ
キング層121aと平坦化層121bとの間に、さらに
CVD法により形成されたシリコン酸化膜を形成するこ
ともできる。
【0116】配線層間絶縁膜121には、接続孔122
が形成され、接続孔122には、前記したプラグ116
と同様のプラグ123が形成されている。また、配線層
間絶縁膜121およびプラグ123上には、前記した配
線形成用絶縁膜117および第2層配線M2と同様の配
線形成用絶縁膜124および第3層配線M3が形成され
ている。プラグ123、配線形成用絶縁膜124および
第3層配線M3については、前記したプラグ116、配
線形成用絶縁膜117および第2層配線M2と同様であ
るため詳細な説明を省略する。すなわち、第3層配線M
3は、第2層配線M2と同様にバリア層と銅からなる主
導電層とで構成される。
【0117】また、第3層配線M3の表面には、第2層
配線M2と同様な凹部125が形成されるが、配線層間
絶縁膜126を構成する平坦化層126bが存在するた
め、配線層間絶縁膜126の表面は、凹部125に起因
する凹凸が解消され、上層に形成されるプラグあるいは
上層配線の形成の際に金属膜の残留物の形成を防止して
上層配線間のショート不良を防止し、半導体集積回路装
置の所定の性能を確保し、歩留まりと信頼性を向上する
ことができる。平坦化層126bは、前記した平坦化層
121bと同様に自己流動性を有する被膜たとえばSO
G膜からなる。また、配線層間絶縁膜126を構成する
その他の層、つまりブロッキング層126aおよび絶縁
膜126cについても、前記したブロッキング層121
aおよび絶縁膜121cと同様である。よって、これら
の詳細な説明は省略する。なお、ブロッキング層126
aと平坦化層126bとの間に、さらにCVD法により
形成されたシリコン酸化膜を形成できることは、配線層
間絶縁膜121の場合と同様である。
【0118】配線層間絶縁膜126には、接続孔127
が形成され、接続孔127には、前記したプラグ116
と同様のプラグ128が形成されている。また、配線層
間絶縁膜126およびプラグ128上には、前記した配
線形成用絶縁膜117および第2層配線M2と同様の配
線形成用絶縁膜129および第4層配線M4が形成され
ている。すなわち、第4層配線M4は、第2層配線M2
と同様にバリア層と銅からなる主導電層とで構成され
る。ただし、配線形成用絶縁膜129の膜厚および第4
層配線M4の膜厚および幅は、配線形成用絶縁膜117
および第2層配線M2のそれよりも大きくなっている。
【0119】また、第4層配線M4の表面には、第2層
配線M2と同様な凹部130が形成されるが、配線層間
絶縁膜131を構成する平坦化層131bが存在するた
め、配線層間絶縁膜131の表面は、凹部130に起因
する凹凸が解消され、上層に形成されるプラグあるいは
上層配線の形成の際に金属膜の残留物の形成を防止して
上層配線間のショート不良を防止できる。これにより、
半導体集積回路装置の所定の性能を確保し、歩留まりと
信頼性を向上することができる。平坦化層131bは、
前記した平坦化層121bと同様に自己流動性を有する
被膜たとえばSOG膜からなる。また、配線層間絶縁膜
131を構成するその他の層、つまりブロッキング層1
31aおよび絶縁膜131cについても、前記したブロ
ッキング層121aおよび絶縁膜121cと同様であ
る。よって、これらの詳細な説明は省略する。なお、配
線層間絶縁膜131の膜厚は、配線層間絶縁膜121よ
りも厚くなっている。また、ブロッキング層131aと
平坦化層131bとの間に、さらにCVD法により形成
されたシリコン酸化膜を形成できることは、配線層間絶
縁膜121の場合と同様である。
【0120】配線層間絶縁膜131には、接続孔132
が形成され、接続孔132には、前記したプラグ116
と同様にタングステンからなるプラグ133が形成され
ている。ただし、プラグ133の径および高さは、配線
層間絶縁膜131の膜厚が厚くなっているため、プラグ
116のそれよりも大きくなっている。
【0121】また、配線層間絶縁膜131およびプラグ
133上には、第5層配線M5が形成されている。第5
層配線M5は、たとえばアルミニウムまたはアルミニウ
ム合金からなり、フォトリソグラフィ技術およびエッチ
ング技術を用いて形成される。
【0122】このようにアルミニウムまたはアルミニウ
ム合金からなる第5層配線層M5と、銅からなる主導電
層で構成される第4層配線M4とを、タングステンから
なるバリア層を介して電気的に接続によりアルミニウム
と銅とが反応して抵抗が増大することを防止できる。
【0123】第5層配線M5は絶縁膜134で覆われて
いる。絶縁膜134は、たとえばCVD法で形成された
シリコン酸化膜あるいはシリコン窒化膜もしくはそれら
の積層膜とすることができる。絶縁膜134には、さら
にPIQ等の保護膜を含めることができる。
【0124】絶縁膜134の一部には開口が形成され、
この開口部に形成されたバンプ下地金属(BLM)13
5を介してバンプ136と第5層配線M5とが電気的に
接続されている。バンプ下地金属135は、たとえばニ
ッケルと金との積層膜とすることができる。また、バン
プ136は、金または半田とすることができる。なお、
第5層配線M5の材料としては、銅を用いることもでき
るが、アルミニウムを用いることにより、バンプ下地金
属135およびバンプ136との整合性をよくすること
ができる。
【0125】また、バンプ136ではなく、第5層配線
M5でワイヤボンディング用のボンディングパッドを形
成する場合、銅よりも酸化されにくいアルミニウム合金
でボンディングパッドを形成することにより、信頼性を
向上することができる。また、第5層配線M5のような
上層の配線層では、配線間のピッチ等の配線ルールが第
2〜第4層配線M2〜M4よりゆるくとれるので、銅よ
りも酸化等の問題の少ないアルミニウム膜で上層の配線
を構成することで、信頼性を向上することができる。一
方、第2〜第4層配線M2〜M4においては、その配線
幅、配線ピッチを小さくして、高密度に配線を設けるこ
とができるとともに、アルミニウムより比抵抗の小さな
銅を主導電膜として用いることにより、配線抵抗を小さ
くして、回路の動作速度を向上することができる。
【0126】なお、第1層配線M1ないし第5層配線M
5の膜厚および幅、プラグ116、123、128、1
33の径および高さを例示すれば以下の通りである。た
とえば、第1層配線M1の膜厚は0.2〜0.3μm、最小
幅は0.4μmとすることができ、第2層配線M2および
第3層配線M3の膜厚は0.5μm、その最小幅は0.5μ
m、第4層配線M4の膜厚は1μm、その最小幅は1μ
mとすることができる。また、たとえば、プラグ11
6、123、128の径は0.5μm、その高さは1μm
とすることができ、プラグ133の径は1μm、その高
さは2μmとすることができる。
【0127】次に、上記した半導体集積回路装置の製造
方法を図に従って説明する。図22〜図54は、本実施
の形態3の半導体集積回路装置の製造方法の一例をその
工程順に示した断面図である。
【0128】まず、たとえば、p- 形の単結晶シリコン
からなる半導体基板101を用意し、素子分離領域10
2が形成される領域の開口を有するフォトレジスト膜を
パターニングし、半導体基板101に浅溝を形成する。
次に、フォトレジスト膜を除去し、前記浅溝を埋め込む
シリコン酸化膜を半導体基板101の全面に堆積して、
このシリコン酸化膜をCMP法により研磨する。これに
より浅溝以外の領域の半導体基板101上の前記シリコ
ン酸化膜を除去して浅溝内に素子分離領域102を形成
する。
【0129】次に、p型ウェル103が形成される領域
に開口を有するフォトレジスト膜をパターニングし、こ
のフォトレジスト膜をマスクとして、p形の導電形にす
るための不純物、たとえばボロンをイオン注入する。前
記フォトレジスト膜を除去した後、n型ウェル104が
形成される領域に開口を有するフォトレジスト膜をパタ
ーニングし、このフォトレジスト膜をマスクとして、n
形の導電形にするための不純物、たとえばリンをイオン
注入する。さらに、前記フォトレジスト膜を除去した
後、半導体基板101に熱処理を施して前記不純物を活
性化し、p型ウェル103およびn型ウェル104を形
成する(図22)。
【0130】次に、半導体基板101の主面上にゲート
絶縁膜105となるシリコン酸化膜、ゲート電極106
となる多結晶シリコン膜およびキャップ絶縁膜110と
なるシリコン酸化膜を順次堆積して積層膜を形成し、フ
ォトリソグラフィによりパターニングされたフォトレジ
スト膜をマスクとして前記積層膜をエッチングし、ゲー
ト絶縁膜105、ゲート電極106およびキャップ絶縁
膜110を形成する(図23)。ゲート絶縁膜105は
たとえば熱CVD法により堆積することができ、ゲート
電極106はCVD法により形成することができるが、
その抵抗値を低減するためにn形の不純物(例えばP)
をドープしてもよい。なお、ゲート電極106の上部に
WSix 、MoSix 、TiSix 、TaSix などの
高融点金属シリサイド膜を積層してもよく、窒化チタン
(TiN)、窒化タングステン(WN)等のバリア層を
介してタングステン(W)、モリブデン(Mo)、チタ
ン(Ti)、タンタル(Ta)等の金属層を形成しても
よい。キャップ絶縁膜110はたとえばCVD法により
堆積することができる。
【0131】次に、nチャネルMISFETQnが形成
される領域に開口を有するフォトレジスト膜をパターニ
ングし、このフォトレジスト膜とキャップ絶縁膜110
とをマスクとしてn型の導電型の不純物、たとえばリン
をイオン注入し、半導体領域107をゲート電極106
に対して自己整合的に形成する。前記フォトレジスト膜
を除去した後、pチャネルMISFETQpが形成され
る領域に開口を有するフォトレジスト膜をパターニング
し、このフォトレジスト膜とキャップ絶縁膜110とを
マスクとしてp型の導電型の不純物、たとえばボロンを
イオン注入し、半導体領域108をゲート電極106に
対して自己整合的に形成する。さらに、半導体基板10
1上にCVD法で酸化シリコン膜を堆積した後、反応性
イオンエッチング(RIE)法でこの酸化シリコン膜を
異方性エッチングすることにより、ゲート電極106の
側壁にサイドウォールスペーサ109を形成する(図2
4)。なお、さらに、フォトレジスト膜、キャップ絶縁
膜110およびサイドウォールスペーサ109をマスク
として半導体領域107または半導体領域108にその
導電型に応じた不純物を高濃度にイオン注入し、いわゆ
るLDD構造の不純物半導体領域を形成してもよい。
【0132】また、この段階で、半導体領域107、1
08の表面に、タングステンまたはコバルトのシリサイ
ド膜を形成し、半導体領域107、108のシート抵抗
およびプラグ113との接触抵抗を低減するようにして
もよい。
【0133】次に、半導体基板101上にスパッタ法ま
たはCVD法で酸化シリコン膜を堆積し、層間絶縁膜1
11を形成する。層間絶縁膜111の表面は、CMP法
を用いた研磨により平坦化することができる。さらに、
半導体基板101の主面の半導体領域107、108上
の層間絶縁膜111に、フォトリソグラフィ技術および
エッチング技術を用いて接続孔112を開口する(図2
5)。
【0134】次に、スパッタ法によりタングステン膜1
13aを堆積し、さらにブランケットCVD法によりタ
ングステン膜113bを堆積する(図26)。
【0135】次に、接続孔112以外の層間絶縁膜11
1上のタングステン膜113bおよびタングステン膜1
13aをCMP法による研磨により除去し、プラグ11
3を形成する(図27)。このとき、プラグ113の表
面には、層間絶縁膜111であるシリコン酸化膜とタン
グステン膜113bおよびタングステン膜113aとの
CMP研磨の速度差に起因した凹部(ディッシング部)
140が形成される。なお、CMP法に代えてエッチバ
ック法を用いてもよい。
【0136】次に、半導体基板1の全面にタングステン
膜を堆積し、このタングステン膜をフォトリソグラフィ
およびエッチング技術によりパターニングして第1層配
線M1を形成する(図28)。なお、前工程で発生した
凹部140の影響は、タングステン膜の表面にも発生す
るが、この凹部140に起因するタングステン膜の表面
凹凸の存在は、第1層配線M1がパターニングにより形
成されるため、大きな影響は受けない。すなわち、第1
層配線M1が凹部140に起因して残存することはな
い。
【0137】次に、スパッタ法またはCVD法で酸化シ
リコン膜を堆積して配線層間絶縁膜114を形成する。
なお、ここでは、スパッタ法またはCVD法で形成され
たシリコン酸化膜を例示しているが、SOG等の塗布
膜、有機膜、フッ素を添加したCVDシリコン酸化膜、
シリコン窒化膜、その他複数種の絶縁膜を積層した多層
膜であってもよい。また、配線層間絶縁膜114の表面
はCMP法により研磨して平坦化する。このように平坦
化することにより、次に説明する接続孔115の加工の
際のフォトリソグラフィを精度よく行うことができ、半
導体集積回路装置の高集積化に対応することが容易にな
る。
【0138】次に、フォトリソグラフィ技術およびエッ
チング技術を用いて、第1層配線M1の表面に達する接
続孔115を開口する。(図29)。
【0139】次に、接続孔115の内部を含む配線層間
絶縁膜114の表面に、スパッタ法によりタングステン
膜116aを堆積し、さらにブランケットCVD法によ
りタングステン膜116bを堆積する(図30)。
【0140】次に、接続孔115以外の配線層間絶縁膜
114上のタングステン膜116bおよびタングステン
膜116aをCMP法により研磨することで除去し、プ
ラグ116を形成する(図31)。このとき、プラグ1
16の表面には、配線層間絶縁膜114であるシリコン
酸化膜とタングステン膜116bおよびタングステン膜
116aとのCMP研磨の速度差に起因した凹部141
が形成される。なお、CMP法に代えてエッチバック法
を用いてもよい。
【0141】次に、第2層配線M2をCMP法で形成す
るための配線形成用絶縁膜117を堆積する(図3
2)。配線形成用絶縁膜117は、たとえばCVD法ま
たはスパッタ法により形成されたシリコン酸化膜とする
ことができ、その膜厚は、第2層配線M2の膜厚である
0.5μmあるいはそれよりも若干厚く形成する。配線形
成用絶縁膜117の表面には、凹部141に起因する凹
部が形成される。
【0142】次に、第2層配線M2が形成される領域に
開口を有するフォトレジスト膜を形成し、このフォトレ
ジスト膜をマスクとして配線形成用絶縁膜117をエッ
チングし、配線形成用絶縁膜117に配線溝118を形
成する(図33)。なお、この段階で前記した凹部14
1に起因する配線形成用絶縁膜117の表面の凹部は除
去され、その後の工程に影響することはない。また配線
溝118の幅は、最小幅W1と最大幅W2との間の幅で
形成されるが、最大幅W2は、最小幅W1の4倍以下で
ある。
【0143】次に、配線溝118の内部を含む配線形成
用絶縁膜117の表面にバリア層119aとなる窒化チ
タン膜142を堆積する(図34)。窒化チタン膜14
2は、たとえばCVD法あるいはスパッタ法により堆積
することができる。窒化チタン膜142の堆積は、後に
説明する銅膜の密着性の向上および銅の拡散防止のため
に行うものである。なお、窒化チタン膜に代えてタンタ
ル等の金属膜あるいは窒化タンタル膜等であってもよ
い。また、次工程である銅膜の堆積直前に窒化チタン膜
142の表面をスパッタエッチすることも可能である。
このようなスパッタエッチにより、窒化チタン膜142
の表面に吸着した水、酸素分子等を除去し、銅膜の接着
性を改善することができる。
【0144】次に主導電層119bとなる金属、たとえ
ば銅の薄膜を堆積し、これを熱処理して流動化し、配線
溝118に良好に埋め込まれた銅膜143を形成する
(図35)。銅膜143の堆積は、通常のスパッタ法を
用いることができるが、蒸着法等の物理的気相成長法を
用いてもよい。また、有機金属ガス等を原料ガスに用い
たCVD法等により堆積を行ってもよい。熱処理の条件
は、銅膜143が流動化する温度および時間を必要と
し、たとえば、350℃〜400℃、3分〜5分を例示
することができる。なお、銅膜143は、電解メッキあ
るいは無電解メッキのメッキ法を用いて形成することも
できる。
【0145】また、窒化チタン膜142および銅膜14
3の膜厚は、配線溝118が最小幅W1の領域で膜厚H
1であり、配線溝118が最大幅W2の領域で膜厚H2
である。このとき、膜厚H1と膜厚H2とは、ほぼ等し
く、かつ、配線溝118の深さL1よりも大きくなって
いる。すなわち、銅膜143は、配線溝118が最小幅
W1の領域および最大幅W2の領域の双方で配線溝11
8を完全に埋め込んでいる。これにより、第2層配線M
2が配線溝118の断面内のほぼ全域に形成され、第2
層配線M2の抵抗を低減できる。
【0146】次に、配線形成用絶縁膜117上の余分な
銅膜143および窒化チタン膜142を除去し、第2層
配線M2を構成する主導電層119bおよびバリア層1
19aを形成する(図36)。銅膜143および窒化チ
タン膜142の除去には、CMP法による研磨を用い
る。第2層配線M2の形成にCMP法による研磨を用い
るため、第2層配線M2の表面には配線形成用絶縁膜1
17の表面に比較して凹んだ状態であるディッシング1
20が形成される。図36の一点鎖線で囲まれた部分の
拡大図を図37に示す。図37に示すように、配線形成
用絶縁膜117の表面には、CMPの研磨剤等による引
っかき傷であるスクラッチ145が形成される。
【0147】また、配線溝118が最小幅W1の領域の
ディッシング120の部分のディッシング量K1と最大
幅W2の領域のディッシング120の部分のディッシン
グ量K2とは、ほぼ同量となっている。
【0148】次に、第2層配線M2および配線形成用絶
縁膜117上にシリコン窒化膜を堆積してブロッキング
層121aを形成する(図38)。シリコン窒化膜の堆
積には、たとえばプラズマCVD法を用いることができ
る。膜厚は約100nmとする。シリコン窒化膜の膜厚
が薄く、また、CVD法によるシリコン窒化膜は段差の
被覆性に優れるため、この段階でのブロッキング層12
1aの表面は、図39に示すように、ディッシング12
0およびスクラッチ145に起因する凹凸が存在する。
なお、図39は、図38の一点鎖線で囲まれた部分の拡
大図を示す。
【0149】次に、自己流動性を有した被膜であるSO
G膜を塗布し、さらに400℃程度の熱処理を行ってキ
ュアし、平坦化層121bを形成する(図40)。SO
G膜として、有機あるいは無機のSOG膜を用いること
ができる。また、ポリシラザン系のSOG膜を用いるこ
とも可能である。ポリシラザン系のSOG膜の場合に
は、耐熱性を有し、半導体集積回路装置の信頼性を向上
することができる。図41は、図40の一点鎖線で囲ま
れた部分の拡大図を示す。図41に示すように、平坦化
層121bとして自己流動性を有するSOG膜を用いる
ため、その表面は平坦化され、ディッシング120およ
びスクラッチ145の影響は排除される。
【0150】次に、絶縁膜121cを堆積して配線層間
絶縁膜121を完成する(図42)。絶縁膜121c
は、たとえばCVD法によるシリコン酸化膜とすること
ができる。絶縁膜121cの表面すなわち配線層間絶縁
膜121の表面は、平坦化層121bの存在により平坦
性が確保されている。これにより、その後の工程で形成
されるプラグ123等の導電性部材の形成の際にディッ
シング120あるいはスクラッチ145に起因する導電
性の残留物が形成されず、上層配線である第3層配線M
3間の絶縁性が確保される。また、配線層間絶縁膜12
1の表面が平坦化されているため、フォトリソグラフィ
のマージンを向上し、半導体集積回路装置の微細化に対
応することが可能となる。
【0151】次に、接続孔122が形成される領域に開
口を有するフォトレジスト膜146をパターニングし、
このフォトレジスト膜146をマスクとして絶縁膜12
1cおよび平坦化層121bをエッチングする(図4
3)。このエッチングの際には、シリコン酸化膜のエッ
チング速度がシリコン窒化膜に比較して大きくなる条件
でエッチングを行い、シリコン酸化膜からなるブロッキ
ング層121aをエッチングのストッパ膜として利用す
ることができる。
【0152】次に、エッチングの条件をシリコン窒化膜
のエッチング速度がシリコン酸化膜に比較して大きくな
る条件に切り換え、さらにブロッキング層121aをエ
ッチングして接続孔122の形成を完了する(図4
4)。このように、接続孔122のエッチングを2段階
で行うことにより、ブロッキング層121aのエッチン
グの際のオーバーエッチングを十分に行っても、ブロッ
キング層121aの膜厚が薄いため、第2層配線M2が
過剰にエッチングされることがない。このため、接続孔
122の開口を確実に行うとともに、第2層配線M2へ
のダメージを最小限にすることができる。
【0153】また、フォトレジスト膜146の除去の際
には、通常酸素またはオゾンによるアッシング法が用い
られるが、平坦化層121bの無機SOGを用いる場合
には、このアッシングの際に、接続孔122の側面部分
の平坦化層121bの断面に受ける損傷を抑制できる。
すなわち、仮に平坦化層121bに有機SOGを用いれ
ば、オゾンアッシングの際に有機SOG内のSi−CH
3 結合が、Si−OHあるいはSi−O結合に変換さ
れ、その部分の吸湿性、あるいは膜収縮が発生する。こ
の結果、半導体集積回路装置の信頼性の低下や歩留まり
の低下が予測され好ましくない。したがって、有機SO
Gを採用する場合には、フォトレジスト膜146のウェ
ットプロセスによる剥離や、低圧酸素プラズマを用いた
反応性イオンエッチング(RIE)等、アッシングに比
較して習熟されていないプロセスを用いる必要が生じ
る。しかし平坦化層121bに無機SOGを用いる場合
には、このような不具合は生じない。
【0154】なお、次工程のプラグ123の形成工程の
進む直前に、たとえば水素雰囲気において350℃、5
分程度のアニールを施し、接続孔122の底部の第2層
配線M2の表面に還元処理を行うことができる。さら
に、接続孔122の底部へのスパッタエッチングを行う
こともできる。これにより、フォトレジスト膜146の
除去の際のアッシングあるいは大気雰囲気への放置によ
り生じた接続孔122底部の酸化銅を除去して第2層配
線M2とプラグ123との電気的接続の接続抵抗の低減
あるいは接続信頼性の向上を図れる。
【0155】次に、前記したプラグ116の形成方法と
同様にしてプラグ123を形成する(図45)。このプ
ラグ123の形成に際してCMP法が用いられることは
前記したとおりであるが、配線層間絶縁膜121の表面
が平坦化されているため、導電性の残留物が配線層間絶
縁膜121の表面に残存することがない。このため、プ
ラグ123間の絶縁性および配線層間絶縁膜121上に
形成される第3層配線M3間の絶縁性が確保される。な
お、プラグ123の表面にはCMP法により研磨に起因
して凹部147が形成される。
【0156】次に、配線層間絶縁膜121およびプラグ
123の上面には、第3層配線M3を形成するための配
線形成用絶縁膜124が形成される(図46)。配線形
成用絶縁膜124は、配線形成用絶縁膜117と同様に
して形成できる。また、配線形成用絶縁膜124の表面
には凹部147に起因する凹部が形成される。
【0157】次に、前記した第2層配線M2の場合と同
様にしてバリア層と銅膜とからなる第3層配線M3を形
成する(図47)。この第3層配線M3の形成に際して
は、凹部147に起因する配線形成用絶縁膜124表面
の凹部は、それに起因する導電性残存物を形成しない。
これは、第2層配線M2の場合と同様であり、第3層配
線M3を形成するための浅溝の加工の際に、前記した凹
部がエッチングされて除去されることに基づく。なお、
第3層配線M3の表面にはCMP法の研磨に起因する凹
部125が形成される。
【0158】次に、配線形成用絶縁膜124および第3
層配線M3の表面に、第3層配線M3と第4層配線M4
とを絶縁する配線層間絶縁膜126を構成するブロッキ
ング層126aおよび平坦化層126bを形成する(図
48)。ブロッキング層126aおよび平坦化層126
bの形成は、ブロッキング層121aおよび平坦化層1
21bと同様に行うことができる。平坦化層121bを
形成することにより、凹部125の影響を取り除いて、
その表面を平坦にすることができる。
【0159】次に、配線層間絶縁膜126を構成する絶
縁膜126cを堆積して配線層間絶縁膜126の形成を
完了する。配線層間絶縁膜126の表面は平坦化層12
1bが存在するため平坦化されている。さらに、プラグ
116と同様にしてプラグ128を形成する(図4
9)。このプラグ128の形成に際してCMP法が用い
られることは前記したとおりであるが、配線層間絶縁膜
126の表面が平坦化されているため、導電性の残留物
が配線層間絶縁膜126の表面に残存することがない。
このため、プラグ128間の絶縁性および配線層間絶縁
膜126上に形成される第4層配線M4間の絶縁性が確
保される。なお、プラグ128の表面にはCMP法によ
り研磨に起因して凹部149が形成されるが、これに起
因して次工程で導電性残留物を生じないことは前記第3
層配線の場合と同様である。
【0160】次に、配線層間絶縁膜126およびプラグ
128の上面には、第4層配線M4を形成するための配
線形成用絶縁膜129が形成される。配線形成用絶縁膜
129の形成は、配線形成用絶縁膜117と同様に行う
ことができるが、第4層配線M4の膜厚が厚くなること
に伴い、配線形成用絶縁膜117よりも厚く、たとえば
1μmの膜厚あるいはそれよりも若干厚い膜厚で形成さ
れる。その後、第2配線層M2あるいは第3層配線M3
の場合と同様に、配線溝を形成し、窒化チタン膜150
および銅膜151を堆積する(図50)。窒化チタン膜
150および銅膜151は、第2配線層M2あるいは第
3層配線M3の場合と同様に形成できる。
【0161】次に、窒化チタン膜150および銅膜15
1をCMP法により研磨し、配線形成用絶縁膜129の
上面の余分な窒化チタン膜150および銅膜151を除
去する。これにより第4層配線M4を形成する(図5
1)。なお、第4層配線M4の表面にはCMP法の研磨
に起因する凹部130が形成される。
【0162】次に、配線形成用絶縁膜129および第4
層配線M4の表面に、第4層配線M4と第5層配線M5
とを絶縁する配線層間絶縁膜131を構成するブロッキ
ング層131aおよび平坦化層131bを形成する(図
52)。ブロッキング層131aおよび平坦化層131
bの形成は、ブロッキング層121aおよび平坦化層1
21bと同様に行うことができる。平坦化層131bを
形成することにより、凹部130の影響を取り除いて、
その表面を平坦にすることができる。
【0163】次に、配線層間絶縁膜131を構成する絶
縁膜131cを堆積して配線層間絶縁膜131の形成を
完了する。配線層間絶縁膜131の表面は平坦化層13
1bが存在するため平坦化されている。さらに、プラグ
116と同様にしてプラグ133を形成する(図5
3)。
【0164】次に、配線層間絶縁膜131上に、たとえ
ばアルミニウム膜を全面に堆積し、このアルミニウム膜
をパターニングして第5層配線M5を形成する(図5
4)。アルミニウム膜の堆積には、スパッタ法、CVD
法、蒸着法等を用いることができる。
【0165】次に、たとえばシリコン酸化膜を堆積して
絶縁膜134を形成し、その後、第5層配線のパット上
部の絶縁膜134に開口を形成する。さらに、全面にニ
ッケル膜および金膜をスパッタ法、CVD法、蒸着法等
を用いて堆積し、前記パット部以外のニッケル膜および
金膜を除去してバンプ下地金属135を形成する。その
後、金ボールの転写、あるいは金膜の堆積およびパター
ニングによりバンプ136を形成して図22に示す半導
体集積回路装置がほぼ完成する。
【0166】なお、絶縁膜134は、シリコン酸化膜お
よびシリコン窒化膜の積層膜、あるいはさらにPIQ膜
を形成したものであってもよい。また、バンプ136
は、半田膜の形成およびパターニングによる半田ボール
であってもよい。
【0167】本実施の形態3の半導体集積回路装置およ
びその製造方法によれば、前記したように、プラグ12
3、128、133が形成される配線層間絶縁膜12
1、126、131に平坦化層121b、126b、1
31bが形成されているため、プラグ123、128、
133をCMP法で形成する際に、導電性の残留物が残
存せず、プラグ123、128、133、および各プラ
グの上層に形成される第3〜第5層配線M3〜M5の絶
縁性が確保され、半導体集積回路装置の所定の性能を維
持し、その信頼性、歩留まりの向上を図ることができ
る。
【0168】なお、本実施の形態3では、平坦化層12
1b、126b、131bとして、SOG膜を例示した
が、気相中におけるシラノール(Hn Si(O
H)4-n )の生成と低温基板上でのシラノールの反応と
により形成されるシリコン酸化膜とすることもできる。
このようなシリコン酸化膜の形成方法については実施の
形態1で説明したとおりであり、ここでは説明を省略す
る。
【0169】(実施の形態4)図55は、本発明のさら
に他の実施の形態である半導体集積回路装置の一例を示
した断面図である。
【0170】本実施の形態4の半導体集積回路装置は、
実施の形態3の半導体集積回路装置と、配線形成用絶縁
膜117、124、129の構成においてのみ相違する
ものである。そのため、その他の構成部材についての説
明は省略する。
【0171】本実施の形態4の配線形成用絶縁膜11
7、124、129には、平坦化層117a、124
a、129aが含まれ、平坦化層117a、124a、
129aの各々の上層に形成された絶縁膜117b、1
24b、129bとで配線形成用絶縁膜117、12
4、129を各々構成する。このように、配線形成用絶
縁膜117、124、129に平坦化層117a、12
4a、129aが各々含まれるため、配線形成用絶縁膜
117、124、129の形成後の表面が、その下層に
形成されたプラグ116、123、127のCMP法に
よる形成の際に生じた凹部に起因して凹凸が発生するこ
とがない。このため、配線形成用絶縁膜117、12
4、129の各々に配線溝を形成する際のフォトリソグ
ラフィを精度よく行うことができ、半導体集積回路装置
の高集積化に対応できる。
【0172】平坦化層117a、124a、129a
は、実施の形態3における平坦化層121b、126
b、131bと同様であり、自己流動性を有するSOG
膜からなる。SOG膜は有機SOG膜あるいは無機SO
G膜の何れであってもよいが、無機SOG膜の場合には
実施の形態3で説明したと同様な効果、つまり、配線形
成用絶縁膜117、124、129に配線溝を形成する
際に使用するフォトレジスト膜の除去工程にアッシング
を用いた場合であっても、SOG膜に親水性あるいは収
縮が生じることがない。一方、有機SOG膜を用いた場
合には、低誘電率に起因した配線間の容量を低減できる
という効果がある。また、平坦化層117a、124
a、129aは、SOG膜に代えて、気相中におけるシ
ラノールの生成と低温基板上でのシラノールの反応とに
より形成されるシリコン酸化膜とすることもできる。
【0173】絶縁膜117b、124b、129bは、
たとえばCVD法またはスパッタ法により形成されたシ
リコン酸化膜とすることができ、配線形成用絶縁膜11
7、124、129の膜厚を調整する機能を有する。
【0174】次に、本実施の形態4の半導体集積回路装
置の製造方法を図56〜図64を用いて説明する。図5
6〜図64は、実施の形態4の半導体集積回路装置の製
造方法の一例をその工程順に示した断面図である。
【0175】本実施の形態4の製造方法は、実施の形態
3における図31までの工程と同様である。したがっ
て、それまでの工程についての説明は省略する。
【0176】実施の形態3における図31に示すよう
に、配線層間絶縁膜114にプラグ116を形成する。
このプラグ116の表面には、図31に示すように、凹
部141が形成される。
【0177】次に、配線層間絶縁膜114およびプラグ
116上に平坦化層117aを形成し、さらに絶縁膜1
17bを堆積する。これにより、平坦化層117aおよ
び絶縁膜117bで構成される配線形成用絶縁膜117
が形成される(図56)。平坦化層117aは、自己流
動性を有するSOG膜である。このように自己流動性を
有するSOG膜を形成するため、平坦化層117aの表
面には凹部141の影響が現れず、その表面は平坦化さ
れる。また、絶縁膜117bは、たとえばCVD法によ
るシリコン酸化膜とすることができるが、平坦化層11
7aが存在するため、その表面は平坦化されている。
【0178】次に、配線形成用絶縁膜117上に、第2
層配線M2が形成される領域に開口を有したフォトレジ
スト膜をパターニングし、このフォトレジスト膜をマス
クとして配線形成用絶縁膜117をエッチングし、配線
溝118を形成する(図57)。この配線溝118の形
成に際しては、配線形成用絶縁膜117の表面が完全に
平坦化されているため、フォトリソグラフィ工程が高精
度に行えることに加えて、プラグ116の上部に配線が
形成されないような場合であっても、その上部の配線形
成用絶縁膜117の表面に配線を形成するための金属膜
が残留することがない。これにより半導体集積回路装置
の信頼性を向上できる。
【0179】次に、実施の形態3の第2層配線M2の製
造方法と同様に、第2層配線M2を形成し(図58)、
さらに、実施の形態3の場合と同様に、配線層間絶縁膜
121およびプラグ123を形成する(図59)。な
お、プラグ123の表面には凹部147が形成される。
【0180】次に、配線層間絶縁膜121およびプラグ
123上に平坦化層124aを形成し、さらに絶縁膜1
24bを堆積する。これにより、配線形成用絶縁膜12
4が形成される(図60)。平坦化層124aは、平坦
化層117aと同様に、自己流動性を有するSOG膜で
あり、絶縁膜124bは、絶縁膜117bと同様に、た
とえばCVD法によるシリコン酸化膜とすることができ
る。配線形成用絶縁膜124は、平坦化層124aが存
在するため、凹部147の影響を排除することができ、
その表面は平坦化される。
【0181】次に、第2層配線M2の場合と同様に、配
線形成用絶縁膜124に配線溝を形成し、この配線溝内
に第3層配線M3を形成する(図61)。さらに、実施
の形態3の場合と同様に、配線層間絶縁膜126および
プラグ128を形成する(図62)。
【0182】次に、配線層間絶縁膜126およびプラグ
128上に平坦化層129aを形成し、さらに絶縁膜1
29bを堆積する。これにより、配線形成用絶縁膜12
9が形成される(図63)。平坦化層129aは、平坦
化層117aと同様に、自己流動性を有するSOG膜で
あり、絶縁膜129bは、絶縁膜117bと同様に、た
とえばCVD法によるシリコン酸化膜とすることができ
る。配線形成用絶縁膜129は、平坦化層129aが存
在するため、前記同様にその表面は平坦化される。
【0183】次に、第2層配線M2あるいは第3層配線
M3の場合と同様に、配線形成用絶縁膜129に配線溝
を形成し、この配線溝内に第4層配線M4を形成する
(図64)。この後の工程は実施の形態3と同様である
ためその説明を省略する。
【0184】本実施の形態4の半導体集積回路装置およ
びその製造方法によれば、配線形成用絶縁膜117、1
24、129に、平坦化層117a、124a、129
aが含まれるため、配線形成用絶縁膜117、124、
129の表面を平坦化することができ、フォトリソグラ
フィの加工精度を向上できる。また、下層に形成された
プラグ等に凹部を有していても、この凹部に起因する配
線形成用の導電性膜の残留が発生せず、半導体集積回路
装置の信頼性を向上できる。
【0185】(実施の形態5)図65は、本発明のさら
に他の実施の形態である半導体集積回路装置の一例を示
した断面図である。
【0186】本実施の形態5の半導体集積回路装置は、
配線形成用絶縁膜117、124、129には、平坦化
層117a、124a、129aが含まれ、平坦化層1
17a、124a、129aの各々の上層に形成された
絶縁膜117b、124b、129bとで配線形成用絶
縁膜117、124、129を各々構成するものである
が、配線層間絶縁膜121、126、131には平坦化
層が含まれず、ブロッキング層121a、126a、1
31a、および、絶縁膜121c、126c、131c
で配線層間絶縁膜121、126、131を各々構成す
るものである。
【0187】このような半導体集積回路装置によれば、
実施の形態4で説明した効果が得られる一方、プラグ1
23、127、133の形成の際のCMP研磨をある程
度過剰に行うことによって、導電性残留物の発生をある
程度抑制することは可能である。
【0188】(実施の形態6)図66は、本発明のさら
に他の実施の形態である半導体集積回路装置の一例を示
した断面図である。
【0189】本実施の形態6の半導体集積回路装置は、
その第1層配線M1をCMP法で形成したものであり、
また、第1配線M1と第2層配線M2との間の配線層間
絶縁膜114に平坦化層114aを適用したものであ
る。その他の構成は実施の形態3と同様であり、説明は
省略する。
【0190】平坦化層114aは、自己流動性を有する
流動性絶縁膜であり、たとえばSOG膜とすることがで
きる。SOG膜は有機、無機何れであってもよく、ま
た、SOG膜に代えて気相中におけるシラノールの生成
と低温基板上でのシラノールの反応とにより形成される
シリコン酸化膜とすることもできる。配線層間絶縁膜1
14は、平坦化層114aと絶縁膜114bとで構成さ
れ、絶縁膜114bは、たとえばCVD法またはスパッ
タ法により形成されたシリコン酸化膜とすることがで
き、配線層間絶縁膜114の膜厚を調整する機能を有す
る。
【0191】次に、本実施の形態6の半導体集積回路装
置の製造方法を図67〜図71を用いて説明する。図6
7〜図71は、実施の形態6の半導体集積回路装置の製
造方法の一例をその工程順に示した断面図である。
【0192】本実施の形態6の製造方法は、実施の形態
3における図27までの工程と同様である。したがっ
て、それまでの工程についての説明は省略する。
【0193】実施の形態3の図27に示すプラグ113
の形成後、第1層配線M1を形成するための配線形成用
絶縁膜114cを堆積する(図67)。配線形成用絶縁
膜114cは、たとえばCVD法により形成でき、シリ
コン酸化膜とすることができる。また、配線形成用絶縁
膜114cの表面には凹部140に起因して凹部が形成
されている。
【0194】次に、第1層配線M1が形成される領域に
開口を有するフォトレジスト膜をパターニングし、この
フォトレジスト膜マスクとして配線形成用絶縁膜114
cをエッチングする(図68)。
【0195】次に、半導体基板101の全面にタングス
テン膜152を堆積し(図69)、このタングステン膜
152をCMP法により研磨する。タングステン膜15
2の研磨は配線形成用絶縁膜114cの表面が露出する
まで行う。これにより、配線形成用絶縁膜114cの表
面の余分なタングステン膜152を除去して第1層配線
M1を形成する(図70)。なお、第1層配線M1の表
面には、第1層配線M1を構成するタングステンと配線
形成用絶縁膜114cを構成するシリコン酸化膜とのC
MPの研磨速度に起因して凹部(ディッシング)153
が形成される。
【0196】次に、配線形成用絶縁膜114cおよび第
1層配線M1上に、平坦化層114aを形成する。平坦
化層114aは、たとえばSOG膜とすることができ
る。なお、SOG膜は有機、無機何れであってもよく、
また、SOG膜に代えて気相中におけるシラノールの生
成と低温基板上でのシラノールの反応とにより形成され
るシリコン酸化膜とすることもできることは前記したと
おりである。このように、平坦化層114aを形成する
ことにより、第1層配線M1の表面の凹部153を吸収
して平坦化層114aの表面を平坦にすることができ
る。さらに、絶縁膜114bを堆積し、配線層間絶縁膜
114の形成を完了する(図71)。絶縁膜114b
は、たとえばCVD法により形成でき、シリコン酸化膜
とすることができる。配線層間絶縁膜114の表面は、
平坦化層114aの存在により平坦とすることができ、
これにより、その後の工程、特にプラグ116の形成工
程において、凹部153に起因する導電性の残留物を発
生することがない。これにより、半導体集積回路装置の
所定の性能を確保し、その信頼性と歩留まりを向上でき
る。
【0197】以上、本発明者によってなされた発明を発
明の実施の形態に基づき具体的に説明したが、本発明は
前記実施の形態に限定されるものではなく、その要旨を
逸脱しない範囲で種々変更可能であることは言うまでも
ない。
【0198】たとえば、主導電層が銅の場合を例示した
が、タングステンあるいはアルミニウムの場合であって
もよい。
【0199】また、配線層間絶縁膜にブロッキング層を
設けた例について説明したが、これらブロッキング層は
必須ではなく、設けなくてもよい。
【0200】さらに、実施の形態3、4、6において、
配線層間絶縁膜121、126、131がブロッキング
層121a、126a、131aと、平坦化層121
b、126b、131bと、絶縁膜121c、126
c、131cとの3層構成の場合を例示しているが、ブ
ロッキング層121a、126a、131aと、平坦化
層121b、126b、131bとの間に、図72に示
すような絶縁膜121d、126d、131dが形成さ
れてもよい。絶縁膜121d、126d、131dは、
たとえばCVDにより形成されたシリコン酸化膜とする
ことができる。
【0201】また、ブロッキング層121a、126
a、131aは必須ではない。この場合、第2〜第4層
配線M2〜M4の形成後に、銅等の拡散をブロックする
作用を有する薄膜、たとえば窒化チタン膜を堆積し、こ
の後硬質パッド等を用いて、CMP法により研磨して配
線形成用絶縁膜117、124、129上の前記窒化チ
タン膜を除去する方法等によって、ブロッキング作用を
有する被膜を第2〜第4層配線M2〜M4の各々の上部
に形成できる。
【0202】また、実施の形態3〜6の第2層配線M
2、第3層配線M3、第4層配線M4を、実施の形態
1、2の配線18のようにデュアルダマシン法で形成す
ることができる。たとえば、図74は、実施の形態3の
第2、第3、第4層配線M2、M3、M4にデュアルダ
マシン法を適用した例を示す。また、図75は、実施の
形態4の第2、第3、第4層配線M2、M3、M4にデ
ュアルダマシン法を適用した例を示す。また、図76
は、実施の形態5の第2、第3、第4層配線M2、M
3、M4にデュアルダマシン法を適用した例を示す。ま
た、図77は、図72に示す半導体集積回路装置の第
2、第3、第4層配線M2、M3、M4にデュアルダマ
シン法を適用した例を示す。
【0203】また、第1〜第5層配線M1〜M5までの
配線に限られず、第6、第7等さらに上層の配線を形成
することもできる。
【0204】
【発明の効果】本願において開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば以
下のとおりである。
【0205】(1)CMP法により絶縁膜に形成された
配線溝または接続孔内に埋め込んで形成された導電性部
材(たとえば配線、プラグ)上の絶縁膜の表面平坦性を
向上できる。
【0206】(2)CMP法により絶縁膜に形成された
配線溝または接続孔内に埋め込んで形成された導電性部
材上の第2の導電性部材のショート不良を防止でき、半
導体集積回路装置の歩留まりおよび信頼性を向上するこ
とができる。
【図面の簡単な説明】
【図1】本発明の一実施の形態である半導体集積回路装
置の一例を示した断面図である。
【図2】実施の形態1の半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【図3】実施の形態1の半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【図4】実施の形態1の半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【図5】実施の形態1の半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【図6】実施の形態1の半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【図7】実施の形態1の半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【図8】実施の形態1の半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【図9】実施の形態1の半導体集積回路装置の製造方法
の一例をその工程順に示した断面図である。
【図10】実施の形態1の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図11】実施の形態1の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図12】実施の形態1の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図13】実施の形態1の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図14】実施の形態1の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図15】実施の形態1の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図16】本発明の他の実施の形態である半導体集積回
路装置の一例を示した断面図である。
【図17】実施の形態2の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図18】実施の形態2の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図19】実施の形態2の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図20】実施の形態2の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図21】本発明のさらに他の実施の形態である半導体
集積回路装置の一例を示した断面図である。
【図22】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図23】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図24】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図25】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図26】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図27】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図28】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図29】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図30】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図31】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図32】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図33】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図34】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図35】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図36】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図37】図36の一点鎖線で囲まれた部分の拡大図で
ある。
【図38】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図39】図38の一点鎖線で囲まれた部分の拡大図で
ある。
【図40】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図41】図40の一点鎖線で囲まれた部分の拡大図で
ある。
【図42】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図43】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図44】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図45】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図46】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図47】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図48】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図49】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図50】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図51】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図52】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図53】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図54】実施の形態3の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図55】本発明のさらに他の実施の形態である半導体
集積回路装置の一例を示した断面図である。
【図56】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図57】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図58】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図59】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図60】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図61】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図62】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図63】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図64】実施の形態4の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図65】本発明のさらに他の実施の形態である半導体
集積回路装置の一例を示した断面図である。
【図66】本発明のさらに他の実施の形態である半導体
集積回路装置の一例を示した断面図である。
【図67】実施の形態6の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図68】実施の形態6の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図69】実施の形態6の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図70】実施の形態6の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図71】実施の形態6の半導体集積回路装置の製造方
法の一例をその工程順に示した断面図である。
【図72】本発明のさらに他の実施の形態である半導体
集積回路装置の他の例を示した断面図である。
【図73】本発明者らが検討した問題点を説明する図面
であり、(a)は平面図、(b)は(a)におけるb−
b断面図、(c)は(a)におけるc−c断面図であ
る。
【図74】本発明のさらに他の実施の形態である半導体
集積回路装置の一例を示した断面図である。
【図75】本発明のさらに他の実施の形態である半導体
集積回路装置の一例を示した断面図である。
【図76】本発明のさらに他の実施の形態である半導体
集積回路装置の一例を示した断面図である。
【図77】本発明のさらに他の実施の形態である半導体
集積回路装置の一例を示した断面図である。
【符号の説明】
1 半導体基板 2 SOI絶縁層 3 U溝素子分離領域 4 pウェル 6 ゲート絶縁膜 7 ゲート電極 8 不純物半導体領域 9 サイドウォールスペーサ 10 キャップ絶縁膜 11a 層間絶縁膜 11b 層間絶縁膜 11c スクラッチ 12 接続孔 13 金属プラグ 13a タングステン膜 13b 金属プラグ 13c タングステン膜 14 配線 14a 主導電層 14b 窒化チタン膜 14c ディッシング 15 配線溝 16 層間絶縁膜 16a ブロッキング層 16b 平坦化層 16c 絶縁膜 17 配線溝 18 配線 18a 主導電層 18b 窒化チタン膜 19 金属膜 20 金属膜 21 層間絶縁膜 21a ブロッキング層 21b シリコン酸化膜 22 シリコン酸化膜 23 凹部 101 半導体基板 102 素子分離領域 103 p型ウェル 104 n型ウェル 105 ゲート絶縁膜 106 ゲート電極 107 不純物半導体領域 108 不純物半導体領域 109 サイドウォールスペーサ 110 キャップ絶縁膜 111 層間絶縁膜 112 接続孔 113 プラグ 113a タングステン膜 113b タングステン膜 114 配線層間絶縁膜 114a 平坦化層 114b 絶縁膜 114c 配線形成用絶縁膜 115 接続孔 116 プラグ 116a タングステン膜 116b タングステン膜 117 配線形成用絶縁膜 117a 平坦化層 117b 絶縁膜 118 配線溝 119a バリア層 119b 主導電層 120 ディッシング(凹部) 121 配線層間絶縁膜 121a ブロッキング層 121b 平坦化層 121c 絶縁膜 121d 絶縁膜 122 接続孔 123 プラグ 124 配線形成用絶縁膜 124a 平坦化層 124b 絶縁膜 125 凹部 126 配線層間絶縁膜 126a ブロッキング層 126b 平坦化層 126c 絶縁膜 126d 絶縁膜 127 接続孔 128 プラグ 129 配線形成用絶縁膜 129a 平坦化層 129b 絶縁膜 130 凹部 131 配線層間絶縁膜 131a ブロッキング層 131b 平坦化層 131c 絶縁膜 131d シリコン酸化膜 132 接続孔 133 プラグ 134 絶縁膜 135 バンプ下地金属(BLM) 136 バンプ 140 凹部 141 凹部 142 窒化チタン膜 143 銅膜 145 スクラッチ 146 フォトレジスト膜 147 凹部 149 凹部 150 窒化チタン膜 151 銅膜 152 タングステン膜 153 凹部 201 絶縁膜 202 配線 203 絶縁膜 204 配線溝 205 凹部 206 絶縁膜 207 プラグ 208 導電物質 209 絶縁膜 210 配線 M1 第1層配線 M2 第2層配線 M3 第3層配線 M4 第4層配線 M5 第5層配線 Qn nチャネルMISFET Qp pチャネルMISFET
───────────────────────────────────────────────────── フロントページの続き (72)発明者 大和田 伸郎 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板の主面に形成された半導体素
    子と、前記半導体素子の上部に形成され、その一部に形
    成された凹部に研磨法を用いて形成された導電性部材が
    埋め込まれた第1絶縁膜と、前記第1絶縁膜の上面に形
    成され、その一部に形成された凹部に研磨法を用いて形
    成された導電性部材が埋め込まれた第2絶縁膜とを有す
    る半導体集積回路装置であって、 前記第2絶縁膜には、自己流動性を有する流動性絶縁膜
    が含まれることを特徴とする半導体集積回路装置。
  2. 【請求項2】 請求項1記載の半導体集積回路装置であ
    って、 前記流動性絶縁膜は、前記第2絶縁膜の表面を平坦化す
    るように構成されることを特徴とする半導体集積回路装
    置。
  3. 【請求項3】 請求項1または2記載の半導体集積回路
    装置であって、 前記第2絶縁膜の凹部は、配線溝を構成し、前記第2絶
    縁膜の導電性部材は、前記配線溝に形成された配線を構
    成し、前記流動性絶縁膜の上部に前記配線溝が形成され
    ることを特徴とする半導体集積回路装置。
  4. 【請求項4】 請求項1または2記載の半導体集積回路
    装置であって、 前記第2絶縁膜の凹部は、配線溝と接続孔とを構成し、
    前記第2絶縁膜の導電性部材は、前記配線溝に形成され
    た配線と、前記接続孔に形成されたプラグとを構成し、
    前記流動性絶縁膜は、前記配線溝間に形成されることを
    特徴とする半導体集積回路装置。
  5. 【請求項5】 請求項1または2記載の半導体集積回路
    装置であって、 前記第2絶縁膜の凹部は、配線溝と接続孔とを構成し、
    前記第2絶縁膜の導電性部材は、前記配線溝に形成され
    た配線と、前記接続孔に形成されたプラグとを構成し、
    前記流動性絶縁膜は、前記配線溝間に形成される第1流
    動性絶縁膜と、前記配線溝の下部に形成される第2流動
    性絶縁膜とを有することを特徴とする半導体集積回路装
    置。
  6. 【請求項6】 請求項1〜5の何れか一項に記載の半導
    体集積回路装置であって、 前記第2絶縁膜の凹部は、前記第2絶縁膜の表面近傍に
    形成された配線溝と前記配線溝の下部に形成された接続
    孔とからなり、前記導電性部材は、前記配線溝に形成さ
    れた配線部と前記接続孔に形成された接続部とが一体と
    して形成されたものであることを特徴とする半導体集積
    回路装置。
  7. 【請求項7】 請求項1〜6の何れか一項に記載の半導
    体集積回路装置であって、 前記第2絶縁膜は、自己流動性を有さない非流動性絶縁
    膜、前記流動性絶縁膜および前記非流動性絶縁膜が積層
    された3層構造を有することを特徴とする半導体集積回
    路装置。
  8. 【請求項8】 請求項1〜7の何れか一項に記載の半導
    体集積回路装置であって、 前記流動性絶縁膜は、SOG膜であることを特徴とする
    半導体集積回路装置。
  9. 【請求項9】 請求項8記載の半導体集積回路装置であ
    って、 前記SOG膜は、無機SOG膜であること特徴とする半
    導体集積回路装置。
  10. 【請求項10】 請求項1〜7の何れか一項に記載の半
    導体集積回路装置であって、 前記流動性絶縁膜は、気相中におけるシラノールの生成
    と低温基板上での前記シラノールの反応とにより形成さ
    れるシリコン酸化膜であることを特徴とする半導体集積
    回路装置。
  11. 【請求項11】 請求項1〜10の何れか一項に記載の
    半導体集積回路装置であって、 前記凹部、配線溝または接続孔の幅Wはその最大幅Wm
    axと最小幅Wminとの範囲内にあり、Wmax≦4
    ×Wmin、の条件を満足することを特徴とする半導体
    集積回路装置。
  12. 【請求項12】 半導体基板の主面に形成された半導体
    素子と、前記半導体素子の上部に形成され、その一部に
    形成された凹部に研磨法を用いて形成された導電性部材
    が埋め込まれた第1絶縁膜と、前記第1絶縁膜の上面に
    形成され、その一部に形成された凹部に研磨法を用いて
    形成された導電性部材が埋め込まれた第2絶縁膜とを有
    する半導体集積回路装置であって、 前記第2絶縁膜には、研磨法により平坦化された絶縁膜
    が含まれていることを特徴とする半導体集積回路装置。
  13. 【請求項13】 請求項1〜12の何れか一項に記載の
    半導体集積回路装置であって、 前記凹部または配線溝に形成された配線の上面には、前
    記配線を構成する金属元素の拡散を防止する拡散防止膜
    が形成されていることを特徴とする半導体集積回路装
    置。
  14. 【請求項14】 請求項13記載の半導体集積回路装置
    であって、 前記拡散防止膜はプラズマCVD法により形成されたシ
    リコン窒化膜であることを特徴とする半導体集積回路装
    置。
  15. 【請求項15】 半導体集積回路装置の製造方法であっ
    て、(a)半導体基板上に形成された第1絶縁膜に凹部
    を形成する工程、(b)前記凹部の内部を含む前記第1
    絶縁膜の表面に、前記凹部を埋め込む第1導電性膜を形
    成する工程、(c)前記第1導電性膜を研磨して、前記
    第1絶縁膜の凹部内に前記第1導電性膜を残すことによ
    り前記第1導電性部材を形成する工程、(d)前記第1
    導電性部材の上部に自己流動性を有する流動性絶縁膜を
    含む第2絶縁膜を形成する工程、(e)前記第2絶縁膜
    に凹部を形成する工程、(f)前記第2絶縁膜の凹部を
    埋め込む第2導電性膜を形成する工程、(g)前記第2
    導電性膜を研磨して、前記第2絶縁膜の凹部に第2導電
    性部材を形成する工程、 を含むことを特徴とする半導体集積回路装置の製造方
    法。
  16. 【請求項16】 請求項15記載の半導体集積回路装置
    の製造方法であって、 前記流動性絶縁膜は、前記半導体基板にSOG膜を塗布
    し、熱処理することで形成されることを特徴とする半導
    体集積回路装置の製造方法。
  17. 【請求項17】 請求項15記載の半導体集積回路装置
    の製造方法であって、 前記流動性絶縁膜は、前記半導体基板を減圧雰囲気下の
    反応室に100℃以下の低温で保持し、前記反応室内に
    SiHx 4-x (ただしMは炭素数1〜3のアルキル
    基、1≦x≦4)およびH2 2 を導入してシラノール
    を生成し、前記シラノールが堆積した前記半導体基板を
    熱処理することで形成されることを特徴とする半導体集
    積回路装置の製造方法。
  18. 【請求項18】 請求項15〜17の何れか一項に記載
    の半導体集積回路装置の製造方法であって、 前記第1導電性部材が形成される前記凹部の幅Wは、そ
    の最大幅Wmaxがその最小幅Wminの4倍以内(W
    min≦W≦4×Wmin)となるように形成されるこ
    とを特徴とする半導体集積回路装置の製造方法。
  19. 【請求項19】 請求項18記載の半導体集積回路装置
    の製造方法であって、 前記(b)工程において前記第1絶縁膜の凹部に埋め込
    まれた前記導電性膜は、前記最小幅Wminにおける前
    記凹部でのその標高H1と、前記最大幅Wmaxにおけ
    る前記凹部でのその標高H2とがほぼ等しく(H1≒H
    2)、かつ、前記標高H1およびH2は、前記第1絶縁
    膜の表面の標高L1よりも高い(H1≒H2>L2)こ
    とを特徴とする半導体集積回路装置の製造方法。
  20. 【請求項20】 請求項請求項18または19記載の半
    導体集積回路装置の製造方法であって、 前記(c)工程において研磨された前記第1導電性部材
    は、前記最小幅Wminにおける前記凹部での前記第1
    導電性部材表面のディッシング量K1と、前記最大幅W
    maxにおける前記凹部での前記第1導電性部材表面の
    ディッシング量K2とがほぼ等しい(K1≒K2)こと
    を特徴とする半導体集積回路装置の製造方法。
  21. 【請求項21】 請求項15〜20の何れか一項に記載
    の半導体集積回路装置の製造方法であって、 前記流動性絶縁膜の形成前にCVD法を用いたシリコン
    酸化膜を堆積し、その後前記流動性絶縁膜を形成し、さ
    らにCVD法によりシリコン酸化膜を堆積することによ
    り前記第2絶縁膜を形成することを特徴とする半導体集
    積回路装置の製造方法。
  22. 【請求項22】 請求項15〜21の何れか一項に記載
    の半導体集積回路装置の製造方法であって、 前記第1導電性部材の形成後、前記第1導電性部材の表
    面を覆う拡散防止膜を形成することを特徴とする半導体
    集積回路装置の製造方法。
  23. 【請求項23】 請求項22記載の半導体集積回路装置
    の製造方法であって、 前記拡散防止膜としてシリコン窒化膜を堆積することを
    特徴とする半導体集積回路装置の製造方法。
  24. 【請求項24】 半導体基板の主面に形成された半導体
    素子と、前記半導体素子の上層に形成され、その一部に
    第1導電性部材が埋め込まれた第1絶縁膜と、前記第1
    絶縁膜の上面に形成され、その一部に第2導電性部材が
    埋め込まれた第2絶縁膜とを有する半導体集積回路装置
    の製造方法であって、(a)半導体基板上に形成された
    第1絶縁膜に凹部を形成する工程、(b)前記凹部の内
    部を含む前記第1絶縁膜の表面に、前記凹部を埋め込む
    第1導電性膜を形成する工程、(c)前記第1導電性膜
    を研磨して、前記第1絶縁膜の前記凹部内にのみ前記第
    1導電性膜を残すことにより前記第1導電性部材を形成
    する工程、(d)前記第1導電性部材の上部に第2絶縁
    膜を形成し、前記第2絶縁膜を研磨して平坦化する工
    程、(e)前記第2絶縁膜に凹部を形成する工程、
    (f)前記第2絶縁膜の凹部を埋め込む第2導電性膜を
    形成した後、前記第2導電性膜を研磨して、前記第2絶
    縁膜の凹部に内に前記第2導電性部材を形成する工程、 を含むことを特徴とする半導体集積回路装置の製造方
    法。
JP08141598A 1997-09-08 1998-03-27 半導体集積回路装置の製造方法 Expired - Fee Related JP3660799B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP08141598A JP3660799B2 (ja) 1997-09-08 1998-03-27 半導体集積回路装置の製造方法
TW87110273A TW424294B (en) 1997-09-08 1998-06-25 Semiconductor integrated circuit device and fabrication process thereof
US09/123,319 US6184143B1 (en) 1997-09-08 1998-07-28 Semiconductor integrated circuit device and fabrication process thereof
KR1019980036924A KR100564188B1 (ko) 1997-09-08 1998-09-08 반도체집적회로장치및그제조방법
US09/669,672 US6403459B1 (en) 1997-09-08 2000-09-26 Fabrication process of semiconductor integrated circuit device
US10/140,332 US6730590B2 (en) 1997-09-08 2002-05-08 Semiconductor integrated circuit device and fabrication process thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP9-242825 1997-09-08
JP24282597 1997-09-08
JP08141598A JP3660799B2 (ja) 1997-09-08 1998-03-27 半導体集積回路装置の製造方法

Publications (2)

Publication Number Publication Date
JPH11145288A true JPH11145288A (ja) 1999-05-28
JP3660799B2 JP3660799B2 (ja) 2005-06-15

Family

ID=26422442

Family Applications (1)

Application Number Title Priority Date Filing Date
JP08141598A Expired - Fee Related JP3660799B2 (ja) 1997-09-08 1998-03-27 半導体集積回路装置の製造方法

Country Status (4)

Country Link
US (3) US6184143B1 (ja)
JP (1) JP3660799B2 (ja)
KR (1) KR100564188B1 (ja)
TW (1) TW424294B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002029878A3 (en) * 2000-10-02 2003-01-23 Rodel Inc Chemical mechanical polishing of dielectric materials
US6737348B2 (en) 2001-05-14 2004-05-18 Matsushita Electric Industrial Co., Ltd. Method for forming buried interconnect
JP2004304068A (ja) * 2003-03-31 2004-10-28 Denso Corp 半導体装置及びその製造方法
JP2004320018A (ja) * 2003-04-10 2004-11-11 Agere Systems Inc 銅技術相互接続構造を使用する集積回路デバイス用のアルミニウム・パッド電力バスおよび信号ルーティング技術
US6828681B2 (en) 2001-01-24 2004-12-07 Seiko Epson Corporation Semiconductor devices having contact pads and methods of manufacturing the same
US6900513B2 (en) 2001-01-22 2005-05-31 Nec Electronics Corporation Semiconductor memory device and manufacturing method thereof
JP2007049089A (ja) * 2005-08-12 2007-02-22 Nec Electronics Corp 半導体装置およびその製造方法
US7215028B2 (en) 2003-09-26 2007-05-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
JP2011101020A (ja) * 2009-11-09 2011-05-19 Taiwan Semiconductor Manufacturing Co Ltd 集積回路および集積回路の製造方法

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3293783B2 (ja) * 1998-11-10 2002-06-17 日本電気株式会社 半導体装置の製造方法
US7381642B2 (en) * 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
US7531417B2 (en) * 1998-12-21 2009-05-12 Megica Corporation High performance system-on-chip passive device using post passivation process
US6936531B2 (en) * 1998-12-21 2005-08-30 Megic Corporation Process of fabricating a chip structure
US6303423B1 (en) * 1998-12-21 2001-10-16 Megic Corporation Method for forming high performance system-on-chip using post passivation process
US8021976B2 (en) * 2002-10-15 2011-09-20 Megica Corporation Method of wire bonding over active area of a semiconductor circuit
US6495442B1 (en) 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US8178435B2 (en) * 1998-12-21 2012-05-15 Megica Corporation High performance system-on-chip inductor using post passivation process
JP2000216264A (ja) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos論理回路素子、半導体装置とその製造方法およびその製造方法において用いる半導体回路設計方法
TW444252B (en) * 1999-03-19 2001-07-01 Toshiba Corp Semiconductor apparatus and its fabricating method
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
US6251775B1 (en) * 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
KR100350111B1 (ko) * 2000-02-22 2002-08-23 삼성전자 주식회사 반도체 장치의 배선 및 이의 제조 방법
US6806578B2 (en) * 2000-03-16 2004-10-19 International Business Machines Corporation Copper pad structure
US6348706B1 (en) * 2000-03-20 2002-02-19 Micron Technology, Inc. Method to form etch and/or CMP stop layers
JP4057762B2 (ja) 2000-04-25 2008-03-05 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4425432B2 (ja) * 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
US6344964B1 (en) * 2000-07-14 2002-02-05 International Business Machines Corporation Capacitor having sidewall spacer protecting the dielectric layer
US6373087B1 (en) * 2000-08-31 2002-04-16 Agere Systems Guardian Corp. Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
US7372161B2 (en) * 2000-10-18 2008-05-13 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7271489B2 (en) 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
JP2002252280A (ja) * 2001-02-26 2002-09-06 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7902679B2 (en) * 2001-03-05 2011-03-08 Megica Corporation Structure and manufacturing method of a chip scale package with low fabrication cost, fine pitch and high reliability solder bump
US6818545B2 (en) * 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
JP2002270611A (ja) * 2001-03-14 2002-09-20 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2002324797A (ja) * 2001-04-24 2002-11-08 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2003017520A (ja) * 2001-06-28 2003-01-17 Sanyo Electric Co Ltd 半導体装置とその製造方法
US6890824B2 (en) * 2001-08-23 2005-05-10 Matsushita Electric Industrial Co., Ltd. Semiconductor device and manufacturing method thereof
US6759275B1 (en) * 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6518183B1 (en) * 2001-09-06 2003-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Hillock inhibiting method for forming a passivated copper containing conductor layer
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP4257055B2 (ja) * 2001-11-15 2009-04-22 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3861669B2 (ja) * 2001-11-22 2006-12-20 ソニー株式会社 マルチチップ回路モジュールの製造方法
US7932603B2 (en) * 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
TW503496B (en) * 2001-12-31 2002-09-21 Megic Corp Chip packaging structure and manufacturing process of the same
TW544882B (en) * 2001-12-31 2003-08-01 Megic Corp Chip package structure and process thereof
TW584950B (en) 2001-12-31 2004-04-21 Megic Corp Chip packaging structure and process thereof
US6673698B1 (en) 2002-01-19 2004-01-06 Megic Corporation Thin film semiconductor package utilizing a glass substrate with composite polymer/metal interconnect layers
JP4090286B2 (ja) * 2002-06-19 2008-05-28 富士通株式会社 光スイッチ
US7202162B2 (en) * 2003-04-22 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition tantalum nitride layer to improve adhesion between a copper structure and overlying materials
US7319277B2 (en) * 2003-05-08 2008-01-15 Megica Corporation Chip structure with redistribution traces
TWI236763B (en) * 2003-05-27 2005-07-21 Megic Corp High performance system-on-chip inductor using post passivation process
US8274160B2 (en) 2003-08-21 2012-09-25 Intersil Americas Inc. Active area bonding compatible high current structures
US7005369B2 (en) * 2003-08-21 2006-02-28 Intersil American Inc. Active area bonding compatible high current structures
US7459790B2 (en) 2003-10-15 2008-12-02 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7394161B2 (en) * 2003-12-08 2008-07-01 Megica Corporation Chip structure with pads having bumps or wirebonded wires formed thereover or used to be tested thereto
US7037840B2 (en) * 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
JP4242336B2 (ja) * 2004-02-05 2009-03-25 パナソニック株式会社 半導体装置
US20050206007A1 (en) * 2004-03-18 2005-09-22 Lei Li Structure and method for contact pads having a recessed bondable metal plug over of copper-metallized integrated circuits
KR100670706B1 (ko) * 2004-06-08 2007-01-17 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성 방법
JP4832807B2 (ja) * 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US8022544B2 (en) 2004-07-09 2011-09-20 Megica Corporation Chip structure
US7465654B2 (en) * 2004-07-09 2008-12-16 Megica Corporation Structure of gold bumps and gold conductors on one IC die and methods of manufacturing the structures
US8552559B2 (en) * 2004-07-29 2013-10-08 Megica Corporation Very thick metal interconnection scheme in IC chips
US7397087B2 (en) * 2004-08-06 2008-07-08 International Business Machines Corporation FEOL/MEOL metal resistor for high end CMOS
US7452803B2 (en) * 2004-08-12 2008-11-18 Megica Corporation Method for fabricating chip structure
US7355282B2 (en) 2004-09-09 2008-04-08 Megica Corporation Post passivation interconnection process and structures
US8008775B2 (en) 2004-09-09 2011-08-30 Megica Corporation Post passivation interconnection structures
US7521805B2 (en) * 2004-10-12 2009-04-21 Megica Corp. Post passivation interconnection schemes on top of the IC chips
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7547969B2 (en) 2004-10-29 2009-06-16 Megica Corporation Semiconductor chip with passivation layer comprising metal interconnect and contact pads
KR100613385B1 (ko) * 2004-12-17 2006-08-17 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US8384189B2 (en) * 2005-03-29 2013-02-26 Megica Corporation High performance system-on-chip using post passivation process
US7470927B2 (en) 2005-05-18 2008-12-30 Megica Corporation Semiconductor chip with coil element over passivation layer
US7960269B2 (en) * 2005-07-22 2011-06-14 Megica Corporation Method for forming a double embossing structure
CN101273626A (zh) * 2005-09-23 2008-09-24 皇家飞利浦电子股份有限公司 最优选择电视节目以便记录和观看
US7473999B2 (en) * 2005-09-23 2009-01-06 Megica Corporation Semiconductor chip and process for forming the same
US7397121B2 (en) 2005-10-28 2008-07-08 Megica Corporation Semiconductor chip with post-passivation scheme formed over passivation layer
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
KR100728486B1 (ko) * 2005-11-15 2007-06-13 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
TWI339419B (en) * 2005-12-05 2011-03-21 Megica Corp Semiconductor chip
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US8836146B2 (en) * 2006-03-02 2014-09-16 Qualcomm Incorporated Chip package and method for fabricating the same
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US8420520B2 (en) * 2006-05-18 2013-04-16 Megica Corporation Non-cyanide gold electroplating for fine-line gold traces and gold pads
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8022552B2 (en) * 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
US8421227B2 (en) * 2006-06-28 2013-04-16 Megica Corporation Semiconductor chip structure
US8592977B2 (en) * 2006-06-28 2013-11-26 Megit Acquisition Corp. Integrated circuit (IC) chip and method for fabricating the same
JP2008060532A (ja) * 2006-08-04 2008-03-13 Seiko Epson Corp 半導体装置
TWI370515B (en) 2006-09-29 2012-08-11 Megica Corp Circuit component
US8749021B2 (en) * 2006-12-26 2014-06-10 Megit Acquisition Corp. Voltage regulator integrated with semiconductor chip
US8193636B2 (en) * 2007-03-13 2012-06-05 Megica Corporation Chip assembly with interconnection by metal bump
US8030775B2 (en) * 2007-08-27 2011-10-04 Megica Corporation Wirebond over post passivation thick metal
DE102007046556A1 (de) 2007-09-28 2009-04-02 Infineon Technologies Austria Ag Halbleiterbauelement mit Kupfermetallisierungen
DE102008044984A1 (de) * 2008-08-29 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität
KR101332228B1 (ko) * 2008-12-26 2013-11-25 메키트 에퀴지션 코포레이션 전력 관리 집적 회로들을 갖는 칩 패키지들 및 관련 기술들
JP5249080B2 (ja) * 2009-02-19 2013-07-31 セイコーインスツル株式会社 半導体装置
US8299615B2 (en) * 2009-08-26 2012-10-30 International Business Machines Corporation Methods and structures for controlling wafer curvature
DE102009046260B4 (de) * 2009-10-30 2020-02-06 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
JP5750827B2 (ja) * 2010-01-26 2015-07-22 セイコーエプソン株式会社 熱型光検出器の製造方法
JP5837783B2 (ja) * 2011-09-08 2015-12-24 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
CN102496598B (zh) * 2011-12-30 2016-08-24 上海集成电路研发中心有限公司 一种去除铜互连中阻挡层残留的方法
KR102321209B1 (ko) * 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
WO2016125490A1 (ja) * 2015-02-03 2016-08-11 富士電機株式会社 半導体装置及びその製造方法
US9646934B2 (en) * 2015-05-26 2017-05-09 Globalfoundries Singapore Pte. Ltd. Integrated circuits with overlay marks and methods of manufacturing the same
JP6321579B2 (ja) * 2015-06-01 2018-05-09 株式会社日立国際電気 半導体装置の製造方法、基板処理システム、基板処理装置及びプログラム
US10128193B2 (en) * 2016-11-29 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10593449B2 (en) 2017-03-30 2020-03-17 International Business Machines Corporation Magnetic inductor with multiple magnetic layer thicknesses
US10607759B2 (en) 2017-03-31 2020-03-31 International Business Machines Corporation Method of fabricating a laminated stack of magnetic inductor
US10597769B2 (en) 2017-04-05 2020-03-24 International Business Machines Corporation Method of fabricating a magnetic stack arrangement of a laminated magnetic inductor
US10347411B2 (en) 2017-05-19 2019-07-09 International Business Machines Corporation Stress management scheme for fabricating thick magnetic films of an inductor yoke arrangement
US10361120B2 (en) * 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
DE102018102448B4 (de) 2017-11-30 2023-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung und Struktur leitfähiger Merkmale
KR20210017663A (ko) * 2019-08-09 2021-02-17 삼성전자주식회사 두꺼운 금속층 및 범프를 갖는 반도체 소자들
KR20220033207A (ko) * 2020-09-09 2022-03-16 삼성전자주식회사 반도체 칩 및 이를 포함하는 반도체 패키지

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910008980B1 (ko) * 1988-12-20 1991-10-26 현대전자산업 주식회사 자외선을 이용한 s.o.g 박막 경화 방법
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
JPH07297183A (ja) 1994-04-28 1995-11-10 Toshiba Corp 半導体装置とその製造方法
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate
JP3281209B2 (ja) * 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
JP3176017B2 (ja) * 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
KR100232506B1 (ko) * 1995-06-27 1999-12-01 포만 제프리 엘. 전기적 접속을 제공하는 배선 구조 및 도체와 그 도체형성방법
US5616519A (en) * 1995-11-02 1997-04-01 Chartered Semiconductor Manufacturing Pte Ltd. Non-etch back SOG process for hot aluminum metallizations
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
JPH09213699A (ja) * 1996-02-06 1997-08-15 Tokyo Electron Ltd 多層配線半導体装置の配線形成方法
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
US5780358A (en) * 1996-04-08 1998-07-14 Chartered Semiconductor Manufacturing Ltd. Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers
US5926713A (en) * 1996-04-17 1999-07-20 Advanced Micro Devices, Inc. Method for achieving global planarization by forming minimum mesas in large field areas
KR100230392B1 (ko) * 1996-12-05 1999-11-15 윤종용 반도체 소자의 콘택 플러그 형성방법
US5902752A (en) * 1996-05-16 1999-05-11 United Microelectronics Corporation Active layer mask with dummy pattern
US5872043A (en) * 1996-07-25 1999-02-16 Industrial Technology Research Institute Method of planarizing wafers with shallow trench isolation
US5928960A (en) * 1996-10-24 1999-07-27 International Business Machines Corporation Process for reducing pattern factor effects in CMP planarization
US5926723A (en) * 1997-03-04 1999-07-20 Advanced Micro Devices, Inc. Generation of a loose planarization mask having relaxed boundary conditions for use in shallow trench isolation processes
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
TW375779B (en) * 1997-06-03 1999-12-01 United Microelectronics Corp Method for treating via side wall
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6479341B1 (en) * 1998-03-02 2002-11-12 Vanguard International Semiconductor Corporation Capacitor over metal DRAM structure

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002029878A3 (en) * 2000-10-02 2003-01-23 Rodel Inc Chemical mechanical polishing of dielectric materials
US7214572B2 (en) 2001-01-22 2007-05-08 Nec Electronics Corporation Semiconductor memory device and manufacturing method thereof
US6900513B2 (en) 2001-01-22 2005-05-31 Nec Electronics Corporation Semiconductor memory device and manufacturing method thereof
US6828681B2 (en) 2001-01-24 2004-12-07 Seiko Epson Corporation Semiconductor devices having contact pads and methods of manufacturing the same
US6737348B2 (en) 2001-05-14 2004-05-18 Matsushita Electric Industrial Co., Ltd. Method for forming buried interconnect
JP2004304068A (ja) * 2003-03-31 2004-10-28 Denso Corp 半導体装置及びその製造方法
JP2004320018A (ja) * 2003-04-10 2004-11-11 Agere Systems Inc 銅技術相互接続構造を使用する集積回路デバイス用のアルミニウム・パッド電力バスおよび信号ルーティング技術
US7400045B2 (en) 2003-09-26 2008-07-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7215028B2 (en) 2003-09-26 2007-05-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7935623B2 (en) 2003-09-26 2011-05-03 Panasonic Corporation Semiconductor device and method for fabricating the same
US8329572B2 (en) 2003-09-26 2012-12-11 Panasonic Corporation Semiconductor device and method for fabricating the same
US8648472B2 (en) 2003-09-26 2014-02-11 Panasonic Corporation Semiconductor device
JP2007049089A (ja) * 2005-08-12 2007-02-22 Nec Electronics Corp 半導体装置およびその製造方法
JP2011101020A (ja) * 2009-11-09 2011-05-19 Taiwan Semiconductor Manufacturing Co Ltd 集積回路および集積回路の製造方法

Also Published As

Publication number Publication date
TW424294B (en) 2001-03-01
US6184143B1 (en) 2001-02-06
US6730590B2 (en) 2004-05-04
KR100564188B1 (ko) 2006-09-12
KR19990029622A (ko) 1999-04-26
US20030003733A1 (en) 2003-01-02
US6403459B1 (en) 2002-06-11
JP3660799B2 (ja) 2005-06-15

Similar Documents

Publication Publication Date Title
JP3660799B2 (ja) 半導体集積回路装置の製造方法
US8008730B2 (en) Semiconductor device, and manufacturing method thereof
KR100598705B1 (ko) 저유전율막을 가지는 반도체 장치 및 그 제조 방법
US20220359274A1 (en) Method and Apparatus for Back End of Line Semiconductor Device Processing
US7501347B2 (en) Semiconductor device and manufacturing method of the same
JP5357401B2 (ja) 半導体装置およびその製造方法
JP2002164428A (ja) 半導体装置およびその製造方法
KR20050077457A (ko) 반도체 소자의 배선 방법 및 배선 구조체
US9520371B2 (en) Planar passivation for pads
US6136697A (en) Void-free and volcano-free tungsten-plug for ULSI interconnection
US6080663A (en) Dual damascene
KR100783868B1 (ko) 반도체장치의 제조방법 및 반도체장치
US20120313176A1 (en) Buried Sublevel Metallizations for Improved Transistor Density
CN110838464A (zh) 金属内连线结构及其制作方法
US6180507B1 (en) Method of forming interconnections
JP2000003912A (ja) 半導体装置の製造方法および半導体装置
US20230386907A1 (en) Dielectric silicon nitride barrier deposition process for improved metal leakage and adhesion
US7662714B2 (en) Method for forming metal line of semiconductor device
KR0165379B1 (ko) 반도체 장치의 층간접속방법
JP2001044201A (ja) 半導体集積回路装置の製造方法
JPH113890A (ja) 半導体集積回路装置およびその製造方法
US20080048338A1 (en) Semiconductor Device and Fabrication Method Thereof

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050315

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050318

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080325

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090325

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090325

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100325

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110325

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110325

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110325

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110325

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120325

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130325

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130325

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140325

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees