JPH07503529A - 電子チップを取付けたリードフレーム用マガジンの熱処理装置 - Google Patents

電子チップを取付けたリードフレーム用マガジンの熱処理装置

Info

Publication number
JPH07503529A
JPH07503529A JP6500057A JP50005794A JPH07503529A JP H07503529 A JPH07503529 A JP H07503529A JP 6500057 A JP6500057 A JP 6500057A JP 50005794 A JP50005794 A JP 50005794A JP H07503529 A JPH07503529 A JP H07503529A
Authority
JP
Japan
Prior art keywords
heat treatment
magazine
gas
fan
treatment apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6500057A
Other languages
English (en)
Inventor
マイザー クラウディオ
ホーネガー ロルフ
Original Assignee
エー・エス・エー・ツェー エス・アー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エー・エス・エー・ツェー エス・アー filed Critical エー・エス・エー・ツェー エス・アー
Publication of JPH07503529A publication Critical patent/JPH07503529A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B21/00Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects
    • F26B21/02Circulating air or gases in closed cycles, e.g. wholly within the drying enclosure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0083Chamber type furnaces with means for circulating the atmosphere
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/16Arrangements of air or gas supply devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Tunnel Furnaces (AREA)
  • Furnace Details (AREA)
  • Die Bonding (AREA)
  • Drying Of Solid Materials (AREA)
  • Heating, Cooling, Or Curing Plastics Or The Like In General (AREA)
  • Furnace Charging Or Discharging (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 電子チップを取付けたリードフレーム用マガジンの熱処理装置本発明は、端部エ ツジによって画定され、ドアによる開閉可能な装填口を有し、マガジン内のリー ドフレームを高温ガスに曝すための少なくとも一つのファンを配置した少なくと も一つのボックス内で、マガジンの水平長手方向に平行で、かつ電子チップを配 置したリードフレーム用の実質的に立方形をした少なくとも一つのマガジンを熱 処理するための装置に関する。
現今の半導体量産に於ける競争は、特に品質的に満足な部品の収量の問題に置か れている。これに対応する生産バッチはコスト面で大きな増加を見ることなく、 また納期に遅れを来すことなく準備されねばならない。この挑戦は極めて高い信 頼性と生産性を備えた工程と装置の使用を要求すると共に、最小のバッチサイズ が、そのバッチサイズの故に生産性に逆影響を及ぼすことなく、処理されること が要求される。この目的に対し、マガジンに配置された電子チップを取り付けた リードフレームを個々の配線用ステージタン(所謂ワイヤボンダ)に供給するた めに、種々の考え方に基づく工程ラインが開発されてきた。この場合、リードフ レームはワークステーションからワークスチーシコンへと流されてい(。
これらワークステーションの一つはキュア装置であって、この装置内で所謂キュ ア、即ちエポキシ樹脂の重合による固化、ここでは特にリードフレーム上に電子 チップを固定するために使用される接合材の同化が起こる。
これまでは、リードフレームを配置した複数のマガジンを対応するオーブンに入 れて、それらを高温ガス(空気または不活性ガス)に曝すようにしている。しか し、この様なランダムな熱処理には、キュア過程、温度分布、電子部品に掛かる ストレス、電子部品の汚染、リードフレームの汚染、環境の汚染等に関し、不利 な点が多々見られる。
従って、本発明の目的は、リードフレームの全長にわたって一様なキュアが起こ り、そこに取り付けた電子チップのストレスができる限り小さく、かつそれらの 汚染並びに環境の汚染を最小限にするような特別な制御方法でリードフレームを 熱処理する事によって、上述の不利な点が生じることのないキュア装置を提供す ることにある。
この目的はファンをハウジング内に支持配置することによって達成される。即ち 、ガスをマガジンを通して流すために、マガジンの領域で実質的にマガジンの長 手方向に平行で、かつ実質的に水平方向に流れる少なくとも一つのガスの流れを 作り出すようにしてハウジング内にファンを支持配置することによって達成され る。
こうしたファン配設の結果、ガスとマガジン内のリードフレーム上にある電子チ ップとの間の熱伝達は実質的に増加し、その結果、マガジン内のリードフレーム 上にある電子チップの加熱または冷却に要する時間周期は実質的に低減される。
それ故、非常に熱に敏感な電子チップの成分部分は可能な限り短時間に、かつ一 様に高温に曝されることになる。例えば、電子チップを含んだ樹脂を固化するた め、即ち一般には、望ましい化学反応を樹脂内で進行させるために必要な時間だ け電子チップを高温に曝すのが最適である。
マガジン内の温度の恒常性に関する期待は既に本実施例で満たされてはいるが、 マガジンのガス流出側の電子成分がガス流入側の成分と実質的に同温度になるま での時間は、更に短縮することが可能である。
ヒータの少なくとも一部が、マガジンからファンへまたはファンからマガジンへ 流れるガス流の実質的に水平区域にあることが望ましい。本発明による装置の一 般計では、ヒータは二つの部分からなり、そのおのおの部分はそれぞれマガジン からファンへ、そしてファンからマガジンへ流れるガス流の区域に設けられる。
この加熱手段の配置によって、加熱ガスはファンで渦巻かれ、その結果充分に攪 拌されるので、熱的層化の危険性は避けられる。
ファンとマガジンの間に、少なくとも一つのガス案内要素を設けることが好まし い。このガス案内要素はバッフル(邪魔板)、壁、ガス案内ブランチ等として設 計するのが好ましい。これらのガス案内要素はマガジンに配置したリードフレー ムに対しガスの流れを特別な仕方で案内する。ガスの流れはヒータによって引き 込まれそして/または対応するファンによって追い出され、次いでリードフレー ムを配置したマガジンに供給されて、そこを通って流れてリードフレームの熱処 理を行う。
本発明による装置の一般計では、ファンはへりカルファン、即ちはす羽根、シャ フトを備え、マガジンの長平方向に平行にガスを排出するファンとして設計され 、ガス案内要素はマガジンとファンとの間に配置されボックスのハウジングの壁 と共に強制された流れのダクトを形成するバッフルとして設計されている。この 場合、ガスの流れの方向はへりカルファンの回転方向を逆にすることによって変 化できる、例えば回転方向を交互に逆にすることによって変化できることが好ま しい。この回転方向の逆転は、例えば10ないし30秒周囲、好ましくは10秒 周期で行われる。従って、マガジンを通ってゆっくり流れるガスの低い熱量に起 因する熱伝達の不均一性を更に少なくするために、マガジンを両側から高温ガス に曝すことができる。
本発明による装置の他の設計では、少なくとも一つのダクトがファンに隣合うガ ス案内要素として作用するチャンバの壁によって形成され、このダクトがガスの 流れをマガジン内に配置されたリードフレムに対し一方から案内する。この場合 、ファンはラディアルファン、即ち放射羽根を備えたファンとし設計され、ガス の流れの方向は対応の強制流ダクトを機械的に作動可能な遮断板またはゲートに よって開閉して変化させることが好ましい。前述の場合と同様の理由、有利性か ら、この遮断板またはゲートの作動は、例えば10ないし30秒の周期、好まし くは10秒の周期で行われる。
本発明による装置の更に他の設計では、二つの強制流ダクトまたは案内ダクトが ガス案内要素として作用する少なくとも一つのバッフル、またはガス案内要素と して作用する少なくとも一つの壁によって形成され、これらのダクトによってガ スの流れはマガジンからファンへ、またはファンからマガジンへと案内される。
これら二つの設計では、ガスは一つのダクトを通してマガジンから引き込まれ、 加熱され、そしてもし適当であれば、他のダクト、例えば反対側のダクトを通っ て再びマガジンに供給される。
本発明による更に他の装置では、ラディアルファンとして設計され、マガジンを それぞれ反対の方向からガスに曝す二つのファンが、ボックスに設けられる。従 ってこの設計では、ガスはボックスの上部または下部領域に於いて、それぞれ反 対の方向に一つのダクトを通して引き込まれて、マガジンを加熱し、もう一つの ダクト、例えば他の対向ダクトから再びマガジンに送られる。この場合、ファン は環状のフランジを備えたガス案内ブランチとして設けられていることが好まし い。このガス案内ブランチはボックスの後壁に隣接する壁に設置され、マガジン に向かって案内ダクトを形成する。この場合、ヒータは螺旋発熱体として設計さ れ、二つのファンによるガス案内ブランチの間に配置され、螺旋発熱体の螺旋軸 は実質的に垂直に設けられ、そしてガス案内ブランチのそれぞれの長手軸は実質 的に水平に設けられる。この場合、ボックス内のガスの流れの分布は、例えば羽 根の回転が交互に反対となるように、二つのファンの回転方向を同時に逆転する ことによって周期的に変化させることができる。前述と同様の理由、有利性から 、二つのファンの回転方向の同時反転は、例えば10乃至30秒の周期、好まし くは10秒の周期で実施される。
本発明によるこれらの好ましい方法、またはそれらの種々の組み合わせは、ボッ クス内のガスそして/またはマガジンに配置されたリードフレームの改良され、 かつ高速の加熱を実現する効果を有している。
特に、二つのファンを使用し、その回転方向を周期的に逆転することが、それぞ れボックスの上下サブ領域に於いて、より高速の一方向のガスの流れをより低速 な他の方向のガスの流れによって補償することになるので、逆の条件がボックス の上下サブ領域に適用される。その結果、例えばキュア処理のような熱処理はよ り一様に進行し、また高速化もされる。
ファン駆動のためにファンはそれぞれにモータを備え、シャフトを介してそれと 接続されることが好ましい。モータはそれぞれハウジングの外に配置され、同じ 方向に回転し、ハウジングのほぼ対抗する側壁片上に配置される。この場合、実 質的に垂直な側壁片はボックスのハウジングの実質的に垂直な後壁から斜めに離 れていくほうこうに設置されているのが好ましい。この後壁は実質的に垂直なボ ックスの開口より狭(なっている。更に、二つのファンを備えた装置に対応する 設計では、ガス案内ブランチとシャフトが実質的に同一の長手軸を有し、それら は実質的に螺旋発熱体の中心点で出会うか、または螺旋発熱体の螺旋軸上で出会 って、好ましくは鈍角を作るようにする。従ってファンは互いに斜めに傾斜して 配置されることになる。
これらの好ましい方法によって、ファンがガスを閉じられたチャンバに遠心的に 放出し、他方このガスが良好に画定され、最適に設計された案内ダクトを介して のみ循環すると言う効果を達成する。
その結果、所定の一線状に整列したのガスの流れが確保される。
ファンを斜めに傾斜させることによって、更に大きな利点がもたらされる。まず 、ガスの偏りが圧力降下を導き、そのため所望のガスの流れが著しく減少するこ とはよく知られるところである。こうした理由から、本発明によるボックスでは 、ガスの偏りは出来る限り少なくすべきである。これは、ファンを斜めに傾斜さ せることによって達成される。何故ならば、そこに設けられる膝部はマガジンに 向かって放射状に開く壁の効果でガスの導入を改良するからである。さらなる利 点は、モータを配置する側壁部を傾斜設計することが出来ることである。従って 、ボックスの後壁はドアまたは装填口に比べて狭く設計され、その結果ボックス の隣にはモータだけが突き出た自由空間が生まれるが、この場合モータは側壁の 主面を越えて飛び出ることはない。従って、複数のボックスを互いに他の妨げに ならないようにして、隣り合わせに、または積み上げて配置することが可能にな る。更に、このような配置には非常に小さな内部空間しか必要ではなく、このこ とがガスの流れに関して明確な効果を発揮する。
マガジンキャリヤをボックス内に設けることが好ましい。このマガジンキャリヤ はハウジングからは熱的に隔離され、かつ装填口の前面のほぼ中央の位置で少な くとも一つのマガジンを支持することが出来る。このようにして、マガジンをボ ックスの中位の高さに配置することが出来るので、マガジンをより平均してガス の流れに曝すことができる。
この場合、温度センサをマガジンキャリヤの実質的中心に配置し、それらを熱的 に結合することが出来る。マガジンキャリヤはヒータの螺旋発熱体による直接の 熱照射から温度センサを遮蔽する。更に、この温度センサに加えて、ボックス内 に少なくとも二つの温度センサを設けることも出来る。これらの温度センサは互 いに実質的に同じ高さで配置され、少なくともその一つは二つの強制流ダクト、 または案内ダクトのガスの流れ中に割当配置され、その温度を検出する。こうし て、マガジンに配置された電子部品の温度は最良に確保され、最適に監視される 。
ファンを駆動するために、ボックスの外に配置された駆動装置またはモータに接 続されたファンのシャフトは、ハウシングに配置したシャフトシールに通される 。このシャフトシールはシャフトより大きな直径を有するシャフトのパツキン押 さえを備え、このパツキン押さえには窒素ような不活性ガスを供給するための半 径方向の開口ラインが設けられる。既に述べたへりカルファンの特別な場合、即 ちそのシャフトの方向とガス排除方向が実質的にマガジンの長手方向に平行であ るようなへりカルファンの場合、へりカルファンのシャフトはボックスの外に配 置された駆動装置またはモータとマグネットカップラによって接続されているこ とが望ましい。このファン駆動方法によって、ボックスのハウジングに漏れが生 じない、即ち空気や埃などによる外部からの汚染、または外部へ排出されるガス による汚染を引き起こす漏れを起こさない。シャフトのパツキン押さえの場合、 そこを流れるガスはシャフトの周りを流れて、ボックスの内部空間に流れ込むと 共に、ハウジングから出て行くので、シャフトのパツキン押さえには摩擦が生ぜ ず、従って軸に熱の発生もない。逆に、シャフトは不活性ガスによって冷却され る。更に、モータはボックスの外に配置されるので、ボックス内のかなりの熱に よる損傷から免れ、モータシャフトの取付は部が高温になることもない。
言うまでもなく、ボックスにはガス供給乱ラインが設けられ、それによってフレ ッシュガス、例えば窒素等の不活性ガス等が、例えばリードフレームの部分の酸 化を防止するために、ボックスの内部空間に導入される。それと同時に、ボック スにはガス放出ラインも設けられ、これによって有害な物質と混ざったガスがボ ックスの内部空間から案内されて出される。例えば、もしエポキシ樹脂の重合が 起こっていれば、このガスが処分される。
言うまでもなく、他の設計によるバッフルを考えることは可能である。特に、ガ スの流れにもっと明確な影響が出るように、バッフルを制御可能な遮断板または ゲートとして設計することは可能である。しかし、その費用は更に嵩むことにな るだろう。
本発明は一面で、ボックスのドアまたはボックスの開口部にも関係している。こ の点に関する主な思考は、ボックスのドア開閉時にボックスの内部空間に出来る 限り外部から空気を持込まないようにすることに置かれる。その理由は、この空 気はリードフレーム上に付着する汚染粒子を含んでおり、障害を引き起こすので 、酸化を避けるために不活性ガスで洗浄されなければならないものだからである 。
こうした理由から、ドアはボックスを支持している主ハウジングに、平行四辺形 のリンク装置によって接続することが好ましい。この平行四辺形のリンク装置は 、好ましくはドアの側面と、主ハウジングに一緒に接続されている二つのレバー とを備え、駆動装置によって動かさせることが好ましい。二つのレバーの間には 実質的に水平な横棒を設けることが好ましい。この横棒には内部にネジを切った スリーブが設けられ、そこをスピンドル棒が通り抜けている。このスピンドル棒 は駆動ベルトによってモータに接続されていることが好ましい。
ドアが平行四辺形のリンク装置によって開閉されるため、ドアは装填口から引き 出すことが出来る。例えば、蝶番型のドアの場合のように、ドアをびしゃと締め ることによってボックスの内部空間に空気が引き込まれることもなく、ドアを上 または下に引き出すことが出来る。更に、ボックスとドアとの間のシールは一様 に圧迫され、より有効なシール性と、シールの長期使用を保証する。
本発明によるキュア装置は数多くの利点を備えており、それを個々に完全に数え 上げることは不可能である。実質的に全ての操作パラメータを制御、監視するこ とによって、リードフレーム間で極めて好ましい温度分布が加熱に関しても、ま た冷却に関しても実現される。装置は高温における操作(所謂、スナップキュア )に対しても適しており、不活性ガスと共にこの操作を行なうことが可能である 。電子部品は最小のストレスを受けるだけであり、極めて低レベルの汚染を受け るだけだろ。全ての電子部品は同一のキュア条件に対して、実質的に同じ時間ガ スに曝される。
更に、装置はある種のマガジン処理に限られるものであはな(、種々のサイズの マガジンを処理することができる。バッフルの交換は必要ではなく、その他の予 防措置も必要ではない。チップまたはリードフレームに関する詰め込み効果は除 外される。
更に強調されるべき点は、装置が小型に設計されている点と、簡単なドア機構を 採用している点である。このような装置は既存の工程ラインに簡単に、そして非 常に好ましい方法で組み入れることが可能である。
本発明のさらに他の利点、特徴及び詳細は、以下に図面を参照して述べる本発明 による好ましい実施例から明らかになるであろう。
図において: 第1図は電子部品を取付けたリードフレーム用キュア装置における本発明による ボックスの実施例の概略平面図;第2図は第1図に対応するキュア装置用のボッ クスの他の実施例を示す概略平面図; 第3図は第1図に対応するキュア装置用の開放ボックスの更に他の実施例を示す 概略平面図; 第4図は第3図のボックスの断面図; 第5図は第3図のボックスのv−v線に沿ってとった、部分断面図; 第6図はキュア装置の一部を、一体化されたボックスと共に示す側面図; 第7図は第6図に示すキュア装置の一部を示す平面図:第8図は本発明によるシ ャフトシールの一部切り欠き平面図;そして 第9図は第8図のIX−IX線に沿ってとったシャフトシールの断面図である。
第1図に於いて、リードフレーム用キュア装置のボックスRは、全ての側面が好 ましくは垂直な後壁2と、好ましくは垂直な側壁3.4と、端部エッヂ29によ って画定され、かつドア6によりて開閉される好ましくは垂直な装填口5とによ って、全ての側面を閉じられたハウジング1を有している。
ボックスRには、マガジンキャリヤ7aが設けられ、その上でマガジン7は装填 口5の前のほぼ中心にセットされ、従ってボックスのほぼ中間の高さで配置され る。熱処理を受けるリードフレムはマガジン7に配置されて、−回のボックス通 過の間に複数のリードフレーム、例えば40個のリードフレームが一度の操作で 熱処理される。
マガジンキャリヤ7aはマガジン7と熱的に結合しているが、ハウジング1とは 熱的に隔離されている。例えば、マガジンキャリヤ7aは熱的に絶縁性の中間層 7b、例えばセラミック(第4図参照)の中間層7bによってハウジング1に支 持されるアルミ合金製の立方形ブロックである。マガジン7ちまたアルミ合金製 であり、それをマガジンキャリヤ7a上にセットすることによって、所望の熱的 接触が形成される。
マガジンキャリヤ7aの実質的中心には、それと熱的結合をする温度センサ67 cが設けられ、このセンサは、例えばダクト67dを介してマガジンキャリヤ7 aに導入することができる(第4図参照)。この温度センサ67cは熱電対また は温度感知性のプラチナレジスタ等で構成されている。マガジンキャリヤ7aの 温度を計ることによって、温度センサ67cはマガジン7並びに熱処理されるリ ードフレームのの温度制御を可能にする。
ハウジング1の内部に位置しているヒータ8によって、内部空間■のガスを加熱 することができる。第1図に示した実施例ではヒータ8は、二つに分けてその軸 を水平に配置した螺旋加熱体8a18bからなり、ファン9の前後に置かれてい る。ファン9はハウジングlのほぼ垂直中心面内に配置されて、その羽根は水平 シャフト10に取り付けられ、このシャフトは概略図示したベアリングブロック 11.12内で回転する。シャフト10はマグネットカプラ13によって外に設 けた駆動袋g1(これ以上詳細に図示しない)に接続されている。
温度センサ67cはマガジン7aによって、ヒータ8の螺旋加熱体8a、8bに よる直接輻射から遮蔽されている。
ファン9とマガジン7の間には、バッフル(邪魔板)14が設けられ、これが所 望のガスの流れを確保する。
第1図の実施例では、ガスは対応してセットした羽根を備えたファン9によって 左側から吸い込まれ、螺旋加熱体8aを介して流れる。更にファン9はもう一つ の螺旋加熱体8bと、ガスが通過しなければならない強制流ダクト15を形成し ているバッフル14を介してガスを右側に押しやる。その後、この加熱されたガ スはマガジン7及びそこに配置されたリードフレームを通り過ぎて、もう一つの 強制流ダクト16を介して再びファンの領域または空間に引き込まれる。
実際、マガジンをゆっくり流れるガスは低い熱量しか持っていないので、ガスの 出口側に比べてガスの入り口に於ける熱にかなりな差異があることが解った。こ のことは、リードフレームの非対称な処理を生じさせるので好ましくない。ガス の入り口側を変更するように、ファン9の回転方向をある時間間隔で反転させる ことによってこの不利な点を補償することができる。既に述べたとおり、この回 転方向の逆転は、例えば1o乃至30秒の周期で、好ましくは10秒の周期で行 われる。この結果、すでに極めて良好な結果が得られている。
第2図の他の実施例では、ボックスR1のヒータ8はラディアルファン17とマ ガジン7の間に配置したただ一つの螺旋加熱体がらなっている。ヒータ8とラデ ィアルファン17との間には閉鎖型のガス案内ブランチ18が位置していて、そ の側面はチャンバの壁19.20と隣合っている。ラディアルファン17はモー タ24に接続されている。
チャンバの壁19.2oは側壁3.4並びに調整可能なゲイトまたは遮断板21 a、21bと共に、内部空間11を形成している。
遮断板21aは作動装置23aに、遮断板21bは作動装置23bにそれぞれ割 当配置されている。これらの作動装置23 a、23 bによって、各々の遮断 板21a、21bは二点間で移動が可能になり、即ちゲイトが二点間で調整可能 になる。こうして内部空間■1を閉鎖し、またはマガジン7へのガスの流れを起 こすために、それぞれのダクト15aS 15bを解放する。第2図に示されて いる位置では、ダクト15aは遮断板21aによって閉じられ、ダクト15bは 遮断板21t?にょって解放されている。この結果、ガス流はダクト15aから はマガジンに流れず、ガス流はダクト15bを通ってマガジン7に流れる。それ からこのガスはマガジン7を通って全体に、或いは部分的に流れ再びガス案内ブ ランチ18とヒータ8を通ってラディアルファン17によって吸い込まれる。上 述の場合と同様の理由と有利性から、マガジンへのガスの入り口側を交互に変え て、マガジン7を通るガスの流れ方向を変化させるために、遮断板またはゲート の開閉は、例えばlO乃至30秒の周期、好ましくは10秒の周期で行う。
更にヒータ8には、斜視図として示したもう一つの温度センサ65がが設けられ ている。実際上、チューブスリーブ66はヒータ8に実質的に同軸に設けらる。
このチューブスリーブ66は、温度センサ67に対し熱遮蔽及び機械的保護具と して働き、これに接続されているラグ68はボックスR1の内部空間11から、 その一部だけが示されている小さいチューブ69を通して引き出されている。
チューブスリーブ66とヒータのら旋加熱体の相対的寸法は温度センサ67がチ ューブスリーブ66によってヒータ8の螺旋加熱体による直接熱照射から遮蔽さ れるように選択する。追加した温度センサ65は追加測定した温度を供給し、こ の値によってボックスR1内の空間的、時間的温度分布が更に改良される。言う までもなく、こうした追加の温度センサ65は本発明の実施例会てに使用可能で ある。
更に他の実施例が第3図乃至第5図に示されている。この場合、ボックスR2の ハウジング1aは多角形設計となっていて、その壁部分には熱絶縁材料25が充 填されている。このハウジング1aの場合、その後壁2aは装填口5より幅狭く 設計されている。この装填口5は二つのほぼ平行な側壁片3a、4aによって形 成され、これら側壁片は膝部26通って後壁2aに側壁片3b、4bと隣接して いる。これらの側壁片3b、4bには、ハウシングlaの内部でラディアルファ ン17aS 17bを駆動するモータ24a、24bをそれぞれ受け入れるため の凹所27が設けられている。特に第3図から、モータ24a、24bはいずれ も側壁3as3bの外壁によって形成されるハウジングlaの外面から飛び出し ていないことがわかる。従って、ボックスR2の外側にモータ24a、24bを 配置したために起こる互いに他の配置を妨げる事も無く、複数のこうしたボック スR2をつぎつぎ隣り合わせに、または積み重ねて配置することが出来る。
モータ24a、24bをボックスR2の外に設けることは、モータ自身にとって も、またラディアルファン17aまたは17bを取付けるシャフトlOaにとっ ても、かなり有利である。ハウジング1aの内部は所望の高温となるから、この ことはモータに不利な効果を与えることになる。もしシャフト10aが非常に加 熱されれば、それは装置の運転を十分に妨げるすることになる。この実施例では 、モータ24aまたは24bの取付手段28のような熱絶縁手段によってこれを 防いでいる。
シャフトシールの好ましい実施例は第8図及び第9図に示されている。シャフト シール70はほぼその中心にシャフトのパツキン押さえ72を備えたワシャ71 からなっている。パツキン押さえ72の直径dはシャフト10aの直径より大き い。してかって、シャツ)10aはシャフトシール70に遊びを持って取付けら れる。シャフトの押さえパツキン72には半径方向に開口ライン73が設けられ 、これを通して不活性ガス、例えば窒素がシャフトのパツキン押さえ72へ導入 される。このガスはシャフト10aの周りを流れ、ボックスR2の内部空間をシ ールする効果がある。更に、このシャフトLOaの周囲の流れは、ガス冷却の効 果をもたらす。このガスの供給ラインは詳しくは図示しない。従って、シャフト 10aは摩擦もなく、と言って外部の空気や埃によるガスの汚染を起こすボック スR2のハウジングからの漏れを起こすこともなく、ボックスR2のハウジング を貫通することが可能になる。
さらに、側壁片3a、4aの端部エッヂ29は環状シール30によってカバーさ れていることについて述べておく。以下に述べる理由から、ハウジングlaの内 部空間は気密に保たれている。エポキシ樹脂の重合時にはある種の物質が発生す るので、ボックスからのガスは、その浄化等を行なわずに周囲環境に放出すべき ではない。
更に、リードフレームの処理は出来るかぎり埃のないところで行なうことが重要 である。更に、後述するように、望ましくない酸化を避けるために、一般に窒素 が混入される。
ハウジング1aの内部には、斜めに傾斜した側壁片3b、4bにほぼ平行に、ハ ウジングの底面に対して板32a、32bによってそれぞれ支持される壁31a 、31bが設けられる。これらの壁31a、31bには、既に他の実施例の説明 で述べた形式のガス案内ブランチ18が挿入される。このブランチ18は対応す るラディアルファン17a、17bと相互に作用する。ガス案内ブランチ18に は環状のフランジ34が形成され、このフランジはバッフルとして働き、吸入さ れたガスがガス案内ブランチ18に逆流することを防止する。
壁31は後壁2aまで延びているので、ラディアルファン17と後壁2aとの間 には、ラディアルファン17に向かってだけ開いた空間35が形成される。さも なければ、ここではもう一つの方向にガスの流れが生じない。
二つの互いに斜めに傾斜して配置された壁31a、31bの間には、ヒータ8が 直立した螺旋加熱体として配置され、そのら旋釉Mは、出力ブランチ18のそれ ぞれの長手軸A、 Bに実質的に直角をなしている。モータ24a、24bのシ ャフト1OaS lObの長手方向の軸AS Bはヒータ8の中心点Mに於いて 、または施油熱体の螺旋軸Mにおいて、交差することが好ましい。更に、軸A、  Bはそれぞれのガス案内ブランチ18の中心軸にもなっている。
第4図から、ヒータ8は接続線36を介してエネルギー源(詳細には図示せず) に接続されていることがわかる。更に、同図から、ガスの供給ライン38と放出 ライン39がハウジングlaの底部33と頂部40に対して開口し、供給された ガスの分配と、放出されるガスの収集は分配板41と収集板42によって、それ ぞれ補助されることがわかる。例えば、窒素は予熱されてから供給される。特に エポキシ樹脂の重合の間に発生する物質を含んだガスが放出される。
本発明の主な利点は第一に、出来る限りガスの偏りが少くされていることである 。ガスの偏りは、その結果として常に望ましくない圧力効果を招くので、出来る かぎり偏りをなくすべきである。この実施例では膝部26、または壁31aと側 壁片3aならびに壁31bと側壁片3bとの間の案内ダクト43.44に於いて ほんの僅かなガスの偏りがあるが、はとんどガスの流れの圧力には著しい影響が ない。ガスはマガジン7の両側からその入口に対してそれぞれ偏向されなければ ならないだけである。このことは、もし適切に行なわれれば、マガジンの前面に ガスの流れに対する横方向の障害物(詳しくは図示しない)を設置することによ って更に改良される。
本発明の概念は、モータ24aとモータ24bとは同方向に回転する代わりに、 反対方向にも回転できるという概念を包含するものである。このことによって、 マガジンの両側におけるガスの流れは上方領域、下方領域の何れかに於いて強め られ、その他の領域でガスの流れが低減される。このことは以下に述べる考へ方 からくるものである。
ば、ガスはラディアルファン17aの羽根の下半分によって、後方に遠心分離さ れてチャンバ35即ち後壁2aに向かう。他方案内ダクト43を介したガス分配 は、ラディアルファン17aの羽根の上部を介して行なわれる。従って、ガス流 はハウジング上方領域で加速され、マガジン7の頂部に配置されているリードフ レームにおけるガスの流れもまた強められる。もしモータ17bが反時計周りに 回転しているならば、同様のことがラディアルファン17bに適用される。従っ て、二つの強められたガスの流れはマガジン7の上方領域で出会い、下方領域は 実質的に無視される。
こうした理由から、モータ24 a、24 bは同方向に回転することが好まし い。その結果、ボックスR2の上方領域に於いて、一方向(モータ24aのファ ン17a)の高速ガス流は他の方向(モータ24bのファン17b)の幾分低速 のガス流によって補償され、この逆をボックスR2の下方領域に適用すれば、そ の結果ボックスR2には、垂直な装填口5から見て、長方形の装填口5の中心と 対角線(中心線ではない)に関して対称性を有しているかのようなガスの流れシ ステムが作られる。
ここでまた、モータの回転方向を周期的に逆転するれことはボックスR2におけ るガスの流れの分布を変更し、その結果リードフレーム処理を改良することにな る。前述の場合と同様の理由及び有利性から、マガジン7を通るガスの流れの方 向と分布を交互に変えるための二つのファンの回転方向の逆転は、例えば10乃 至30秒の周期で、好ましくは10秒の周期で行なわれる。
上述の場合と同様、ここではまた、ボックスR2にはマガジンキャリャ7aが設 けられ、その上にマガジン7が装填口5の前面のほぼ中心にセットされ、マガジ ンが一様にガスの流れに曝されるようにボックスR2の中間の高さに配置される 。マガジンキャリヤ7aはマガジン7と熱的に結合されているが、ハウジング1 即ちその底部33からは中間層7b(第4図参照)によって熱的に隔離されてい る。ここではまた、実質的にマガジンキャリヤ7aの中心には、それと熱的に結 合して温度センサ67cが設けられている。この温度センサはダクト67d(第 4図参照)を介してマガジン7aに導入することが出来、これによってマガジン キャリヤ7aの温度を測定してマガジン7と、処理されるリードフレームの温度 を制御することが可能になる。この場合、温度センサ67cはマガジンキャリヤ 7aによって、ヒータ8の螺旋発熱体による直接熱照射から遮蔽される。
温度センサ67cに加えて、ボックスR2には二つのガス温度センサ67a、6 7b (第3図参照)が設けられる。これらセンサは共にボックスR2の中位の 高さに、互いにほぼ同じ高さで壁31a。
31bのところに割当配置され、案内ダクト43.44におけるガスの流れの温 度を検出する。こうしたガス温度センサは一対以上、例えば6対(第4図では、 三つの左側のガス温度センサ67b°、67b” 、67b” ’ だけが示さ れている)設けてもよい。こうしたガス温度センサは互いに対を作ってボックス R2の実質的に同じ高さに、例えば壁31a、31bの終点に配置される。これ らR2中のガスの流れの対称性を確認することが出来るので、ボックスR2の動 作の対称性を監視することが出来る。このようにして、マガジン内の成分の温度 分布を最良に確認し、監視することが出来る。
言うまでもなく、そうしたガス温度センサは本発明の他の設計と関しても使用す ることが出来る。例えば、その検出温度によって第1図の設計によるボックスR の強制流ダクト15.16内、または第2図の設計によるボックスRの強制流ダ クト15a、15b内でガスの流れの対称性を確認するのに使用することが出来 る。
もしドア6が全自動的に開閉可能であり、装填口5の領域から移動することが可 能であれば、それはボックスRの自動装填にとって有利なことである。この目的 のために第6図、第7図に図示のように、平行四辺形のリンク装置45が設けら れている。このリンク装置によって、ドア6を装填口5から、キュア装置の主ハ ウジング46に近い装填口5の下の終端位置まで移動することが出来る。この終 端位置及び中間の位置は第6図に破線で示されている。
平行四辺形のリンク装置45は二つの平行に配置したレバー47.48を備え、 このレバーは一方において、主ハウジング46の上方でボックスRに固定される 枢軸ジヨイント49を形成すると共に、他方もう一つの枢軸ジヨイント50によ ってドア6に接続されている。
レバー48は枢軸ピン51によって横棒56に接続され、この横棒には内部にネ ジ切り(詳細に図示せず)したスリーブ52が設けられる。この内部ネジは、回 転可能に設けられ、がっモータ55に例えばベルト54によって接続されている スピンドル棒53と螺合している。この駆動系全体は傾斜可能に設けられている ため、破線によって表示されているような平行四辺形のリンク装置45の運動が 可能になる。
第7図から、横棒56が案内コラム57に沿って滑動可能であることがわかる。
横棒56はその横の位置で、ビン58によって下方レバー48に接続され、レバ ー48.47は共に主ハウジング46のスロット59内を移動する。第7図には 、一つのボックスの右隣りに、もう一つのボックスが置かれていることが図示さ れている。
この平行四辺形のリンク装置によって、ドア6は装填口5に対して移動が可能に なり、ドア6は常に装填口5に平行に保たれ、その結果シール30も平行に保た れる。従って、ボックスを閉鎖している間、シール30はボックスとドアとの間 でその全体にわたって同時に、かつ一様に圧縮され、圧迫されるので、シールの 磨耗は少なくなり、密閉性が散着され、シールの長時間使用が確保される。
Fig、1 Fig、3 Fig、4

Claims (1)

  1. 【特許請求の範囲】 1.端部エッヂ(29)によって画定され、ドア(6)による開閉可能な装填口 (5)を備えたハウジング(1、1a)を有し、マガジン内のリードフレームを 高温ガスに曝すための少なくとも一つのファン(9、17、17a、17b)を 配置した、少なくとも一つのボックス内(R、R1、R2)に於いて、マガジン の水平長手方向に平行で、かつ電子チップを配置したリードフレーム用の実質的 に立方形をした少なくとも一つのマガジン(7)を熱処理するための装置であっ て、ファン(9、17、17a、17b)はハウジン(1、1a)上に支持され 、かつガスをマガジン(7)を通して流すために、マガジン(7)の領域に少な くとも一つの実質的に水平方向に流れるガスの流れをマガジンの長手方向に実質 的に平行に作り出すようにして、ハウジングに配置されていることを特徴とする リードフレーム用のマガジンを熱処理する装置。 2.少なくともヒータ(8)の一部は、マガジン(7)からファン(9、17、 17a、17b)へ、またはファンからマガジンへ流れるガスの流れの実質的に 水平な区間(15、16、15a、15b、43、44)に位置していることを 特徴とする請求の範囲1に記載の熱処理装置。 3.ヒータ(8)は二つの部分からなり、その部分(8a、8b)はそれぞれマ ガジン(7)からファン(9)へ、そしてファンからマガジンへ流れるガスの流 れ区間(15、16)に配置されていることを特徴とする請求の範囲2に記載の 熱処理装置。 4.ファン(9、17、17a、17b)とマガジン(7)との間には、少なく とも一つのガス案内要素(14、19、20、31、31a、31b)が設けら れ、好ましくはバッフル、壁、ガス案内ブランチ等として設計されていることを 特徴とする請求の範囲1に記載の熱処理装置。 5.ファン(9)はそのシャフト(10)を有するヘリカルファンとして設計さ れ、マガジン(7)の長手方向に実質的に平行にガスを排除し、ガス案内要素は マガジン(7)とファン(9)との間に設けられ、ボックス(R)のハウジング (1)の側壁(3、4)と共に強制流ダクト(15、16)を形成するバッフル (14)として設計されていることを特徴とする請求の範囲4に記載の熱処理装 置。 6.少なくとも一つのダクト(15a、15b)は、ファン(17)に隣接した ガス案内要素として作用するチャンバの壁(19、20)によって形成され、こ のダクトはマガジン(7)に配置されたリードフレームに対し一方の側で、ガス の流れを案内することを特徴とする請求の範囲4に記載の熱処理装置。 7.二つの強制流ダクト(15、16;15a、15b)または案内ダクト(4 3、44)はガス案内要素として作用する少なくとも一つのバッフル(14)ま たはガス案内要素として作用する少なくとも一つの壁(19、20;31a、3 1b)によって形成され、このダクトによってガスの流れはマガジン(7)から ファン(17、17a、17b)へ、またはファンからマガジンへ案内すること が可能であることを特徴とする請求の範囲4に記載の熱処理装置。 8.ボックス(R2)には、ラディアルファンとして設計された二つのファン( 17a、17b)が配置され、マガジン(7)をそれぞれ反対方向のガスに曝す ことを特徴とする請求の範囲7に記載の熱処理装置。 9.それぞれのファン(17a、17b)はガス案内ブランチ(18)に割当配 置され、好ましくは環状フランジ(34)を備え、このガス案内ブランチ(18 )はボックス(R2)の後壁(2a)に隣接する壁(31a、31b)に配置さ れ、マガジン(7)に対する案内ダクト(43、44)を形成していることを特 徴とする請求の範囲8に記載の熱処理装置。 10.ヒータ(8)は螺旋発熱体として設計されると共に、二つのファン(17 a、17b)のガス案内ブランチ(18)の間に配置され、螺旋発熱体の螺旋軸 (M)は実質的に垂直に配置され、ガス案内ブランチ(18)の各軸(A、b) は実質的に水平に配置されていることを特徴とする請求項9に記載の熱処理装置 。 11.ファン(17a、17b)はシャフト(10a、10b)を介してそれぞ れモータ(24a、24b)に接続され、このモータ(24a、24b)はそれ ぞれボックス(R2)のハウジング(1a)の外に配置され、同方向に回転し、 かつほぼ対向するハウジング(1a)の側壁片(3b、4b)に配置されている ことを特徴とする請求範囲8に記載の熱処理装置。 12.実質的に垂直な側壁片(3b、4b)はボックス(R2)のハウジング( 1a)の実質的に垂直な後壁(2a)から斜めに離れるほうこうに向かって配置 され、この後壁(2a)はボックス(R2)の実質的に垂直な装填口(5)より も狭くなっていることを特徴とする請求項11に記載の熱処理装置。 13.ガス案内ブランチ(18)とシャフト(10a、10b)は実質的に同じ 長手軸(A、b)を有し、この長手軸は螺旋発熱体の中心(M)または螺旋発熱 体の螺旋軸(M)において実質的に出会うようにしたことを特徴とする請求項1 0および12に記載の熱処理装置。 14.長手軸(A、B)は鈍角を作り、そのためファン(17a、17b)は互 いに斜めに傾斜するように配置されることを特徴とする請求の範囲13に記載の 熱処理装置。 15.ボックス(R、R1、R2)には、ハウジング(1、1a)とは熱的に隔 離され、かつ少なくとも一つのマガジン(7)を、装填口(5)の前面のほぼ中 心位置で支えるマガジンキャリヤ(7a)が設けられていることを特徴とする請 求項1に記載の熱処理装置。 16.温度センサ(67C)は実質的にマガジンキャリヤ(7a)の中心にそれ と熱的に結合して配置され、マガジンキャリヤはこの温度センサをヒータ(8) の螺旋発熱体による直接熱照射から遮蔽するようにしたことを特徴とする請求項 15に記載の熱処理装置。 17.ボックスにおいて、温度センサ(67C)に加えて、少なくとも二つの温 度センサ(67a、67b)が設けられ、この追加の温度センサは互いに実質的 に同じ高さに配置され、少なくともその一つは二つの強制流ダクト(15、16 )または案内ダクト(43、44)のうちの一つに割当配置され、そこでガス温 度を検出することを特徴とする請求項7および16に記載の熱処理装置。 18.ファン(9)はシャフト(10)を有するヘリカルファンとして設計され 、マガジン(7)の長手方向に平行にガスを排除し、シャフト(10)はマグネ ットカプラ(13)によって、ボックス(R)の外に配置した駆動装置に接続さ れていることを特徴とする請求の範囲1に記載の熱処理装置。 19.ボックスの外に配置された駆動装置またはモータに接続されているファン (17、17a、17b)のシャフト(10a、10b)は、このシャフトより 大きい直径を備え、かつ好ましくは窒素のような不活性ガスを供給するための半 径方向の開口ライン(73)が設けられているハウシング(1a)に配置したシ ャフトシール(70)を通ることを特徴とする請求の範囲1に記載の熱処理装置 。 20.ドア(6)は平行四辺形のリンク装置(45)によってボックス(R)を 支える主ハウジング(46)に接続さっれていることを特徴とする請求の範囲1 に記載の熱処理装置。 21.平行四辺形のリンク装置(45)はドア(6)の側面及び主ハウジング( 46)とに接続された二つのレバー(47、48)からなり、駆動装置によって 移動されることを特徴とする請求の範囲20に記載の熱処理装置。 22.二つのレバー(48)の間には、実質的に水平な横棒(56)が配置され 、この横棒には、内部にネジを切ったスリーブ(52)が設けられ、これを通し てスピンドル棒(53)か装着されることを特徴とする請求の範囲21に記載の 熱処理装置。 23.スピンドル棒(53)は駆動ベルトによってモータ(55)に接続されて いることを特徴とする請求の範囲22に記載の熱処理装置。 24.ファンはヘリカルファン(9)として設計され、ガスの流れの方向はファ ンの回転方向を逆にすることによって変えることができることを特徴とする請求 の範囲1に記載の熱処理装置。 25.ファンはラディアルファン(17)として設計され、ガスの流れの方向は 対応する強制流ダクト(15a、15b)を開閉することによって、好ましくは 機械的に作動可能な遮断板またはゲート(21a、21b)によって開閉するこ とによって変えることができることを特徴とする請求の範囲6に記載の熱処理装 置。 26.ボックス(R2)内のガスの流れの分布は二つのファン(17a、17b )の回転方向を同時に逆にすることによって周期的に変えることが出来ることを 特徴とする請求の範囲8に記載の熱処理装置。
JP6500057A 1992-06-03 1993-05-21 電子チップを取付けたリードフレーム用マガジンの熱処理装置 Pending JPH07503529A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CH178692 1992-06-03
CH1786/92-2 1992-06-03
PCT/CH1993/000131 WO1993024801A1 (de) 1992-06-03 1993-05-21 Vorrichtung zur wärmebehandlung eines magazins für systemträger mit elektronischen bauelementen

Publications (1)

Publication Number Publication Date
JPH07503529A true JPH07503529A (ja) 1995-04-13

Family

ID=4218571

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6500057A Pending JPH07503529A (ja) 1992-06-03 1993-05-21 電子チップを取付けたリードフレーム用マガジンの熱処理装置

Country Status (11)

Country Link
US (1) US5569402A (ja)
EP (1) EP0597053B1 (ja)
JP (1) JPH07503529A (ja)
KR (1) KR940701531A (ja)
AT (1) ATE157159T1 (ja)
DE (1) DE59307163D1 (ja)
DK (1) DK0597053T3 (ja)
ES (1) ES2109491T3 (ja)
GR (1) GR3025390T3 (ja)
SG (1) SG46236A1 (ja)
WO (1) WO1993024801A1 (ja)

Families Citing this family (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19626209A1 (de) * 1996-06-29 1998-01-08 Ema Elektro Maschinen Schultze Vorrichtung und Verfahren zum Beschichten eines Werkstücks
US5993591A (en) * 1996-12-18 1999-11-30 Texas Instruments Incorporated Coring of leadframes in carriers via radiant heat source
NL1005541C2 (nl) * 1997-03-14 1998-09-18 Advanced Semiconductor Mat Werkwijze voor het koelen van een oven alsmede oven voorzien van een koelinrichting.
US6805112B2 (en) * 2001-06-27 2004-10-19 James T. Cole Convection oven having multiple airflow patterns
KR100436586B1 (ko) * 2001-11-28 2004-06-19 엘지전선 주식회사 리드 프레임 열처리 공정내의 가스 혼합 제어 장치
US6730880B2 (en) * 2002-02-05 2004-05-04 General Electric Company Oven and methods for operating same
KR101013376B1 (ko) 2003-12-10 2011-02-14 삼성전자주식회사 조리 장치 및 그 제어 방법
US7048819B1 (en) * 2005-03-08 2006-05-23 Henson Dale L Hot air lamination chamber for medical catheters
DE102010006393B4 (de) * 2010-02-01 2021-05-27 Texas Instruments Deutschland Gmbh Vorrichtung und Verfahren zur Inertgasaushärtung für Leiterrahmen- oder Substratstreifen
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102679703A (zh) * 2012-05-11 2012-09-19 中昊晨光化工研究院 一种烘干装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9296165B1 (en) 2013-01-04 2016-03-29 Dale L. Henson Apparatuses for expanding tubing and methods of use
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109088512A (zh) * 2018-09-29 2018-12-25 广东阿达智能装备有限公司 双电机冷却装置和引线键合机运动平台系统
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110411182B (zh) * 2019-06-21 2021-06-04 山东创伟外墙保温材料集团有限公司 一种建筑装配的保温层生产加工用辅助烘干装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3429057A (en) * 1966-12-05 1969-02-25 Proctor & Schwartz Inc Dryers
DE2009140C3 (de) * 1970-02-27 1974-12-12 Brown, Boveri & Cie Ag, 6800 Mannheim Querstromgebläse für einen industriellen Warmbehandlungsofen
GB2090962B (en) * 1981-01-08 1985-08-21 Hurley Clive Ovens for heat testing electrical and electronic components
AU547607B2 (en) * 1982-04-14 1985-10-24 Matsushita Electric Industrial Co., Ltd. Hot air circulation type cooking device
AU2865484A (en) * 1983-04-22 1984-12-18 Patentsmith Ii, Inc. Impingement thermal treatment apparatus with collector plate
US4623780A (en) * 1983-08-08 1986-11-18 Properties Leasing Company Inc. Collectramatic food warmer
US4750276A (en) * 1984-05-10 1988-06-14 Donald Paul Smith Impingement thermal treatment apparatus with collector plate
US4914834A (en) * 1989-04-11 1990-04-10 Sime Sylvan H Grain dryer
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
IT1258073B (it) * 1992-04-29 1996-02-20 Zanussi Elettromecc Dispositivo di misura dell'umidita' per forni, in particolare forni dicottura di alimenti

Also Published As

Publication number Publication date
GR3025390T3 (en) 1998-02-27
SG46236A1 (en) 1998-02-20
ATE157159T1 (de) 1997-09-15
DE59307163D1 (de) 1997-09-25
DK0597053T3 (da) 1998-04-06
US5569402A (en) 1996-10-29
KR940701531A (ko) 1994-05-28
EP0597053A1 (de) 1994-05-18
EP0597053B1 (de) 1997-08-20
ES2109491T3 (es) 1998-01-16
WO1993024801A1 (de) 1993-12-09

Similar Documents

Publication Publication Date Title
JPH07503529A (ja) 電子チップを取付けたリードフレーム用マガジンの熱処理装置
KR100338893B1 (ko) 회전 기판을 가진 빠른 열적 가공(rtp) 장치
US5143552A (en) Coating equipment
US6307184B1 (en) Thermal processing chamber for heating and cooling wafer-like objects
US5249960A (en) Forced cooling apparatus for heat treatment apparatus
US20080181826A1 (en) Sterilizing tunnel for pharmaceutical containers
US20010016990A1 (en) Vacuum processing apparatus and operating method therefor
JP2000003918A (ja) 半導体熱処理装置及びその方法
US5908292A (en) Semiconductor processing furnace outflow cooling system
JP2006500545A (ja) 対流型加熱炉の温度分布の改良
TWI392027B (zh) Heat treatment apparatus, heater and heater manufacturing method
JP3683788B2 (ja) 加熱処理装置の冷却方法及び加熱処理装置
JP2004537645A5 (ja)
JPH021113A (ja) レジスト処理装置
JP3229533B2 (ja) リフローはんだ付け方法およびリフローはんだ付け装置
JP3112446B2 (ja) 処理装置
JPS61208218A (ja) 縦型拡散炉装置
JPH07245274A (ja) 熱処理装置
JP3361804B2 (ja) Ccvd反応器システム
JP3670617B2 (ja) 熱処理装置および熱処理方法
KR19990085175A (ko) 자동 큐어 시스템 및 큐어 방법
JP3047190B2 (ja) キュア装置
JP2974032B2 (ja) 縦型熱処理装置
JPH0410556Y2 (ja)
JP3166715B2 (ja) ダイボンディング用プリベーク装置