JPH07122513A - 縦型熱処理装置 - Google Patents

縦型熱処理装置

Info

Publication number
JPH07122513A
JPH07122513A JP5287786A JP28778693A JPH07122513A JP H07122513 A JPH07122513 A JP H07122513A JP 5287786 A JP5287786 A JP 5287786A JP 28778693 A JP28778693 A JP 28778693A JP H07122513 A JPH07122513 A JP H07122513A
Authority
JP
Japan
Prior art keywords
wafer
heat
vertical
heat treatment
processed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5287786A
Other languages
English (en)
Other versions
JP3348936B2 (ja
Inventor
Masayuki Imai
正幸 今井
Takeshi Kurebayashi
剛 榑林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Tokyo Electron Tohoku Ltd
Original Assignee
Tokyo Electron Ltd
Tokyo Electron Tohoku Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Tokyo Electron Tohoku Ltd filed Critical Tokyo Electron Ltd
Priority to JP28778693A priority Critical patent/JP3348936B2/ja
Priority to US08/206,825 priority patent/US5458688A/en
Priority to KR1019940004571A priority patent/KR100290047B1/ko
Priority to US08/430,482 priority patent/US5482559A/en
Publication of JPH07122513A publication Critical patent/JPH07122513A/ja
Application granted granted Critical
Publication of JP3348936B2 publication Critical patent/JP3348936B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)

Abstract

(57)【要約】 【目的】 半導体ウエハなどの被処理体を熱処理するに
あたって、被処理体のスリップと呼ばれる断層の発生を
抑えること。 【構成】 環状の支持部材31に、ウエハWの輪郭に対
応するように例えば4本の支柱21〜24を周方向に植
設すると共に、これらの上端部を互に固定するように環
状の固定部材32を設けてウエハボート2を構成し、こ
れにウエハWを棚状に載せて反応管68内にロードす
る。ウエハボート2の上下両端から二次輻射が起こる
が、両端の部材は環状であるため放熱量が少なく、従っ
てウエハWから支柱21〜24を介して上下両端に伝導
する熱量が少ないのでウエハWの面内温度均一性が高
く、周縁部の熱応力が小さくなる。また保温筒4による
ウエハボート2の支持あるいは蓋体による保温筒4の支
持を例えば3点支持として下方側への放熱を抑えるよう
にしてもよい。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、縦型熱処理装置に関す
る。
【0002】
【従来の技術】半導体ウエハ(以下「ウエハ」という)
の製造プロセスの1つとして、酸化膜の形成やドーパン
トの拡散などを行うために高温下で熱処理を行うプロセ
スがある。この熱処理を行う装置としては、従来横型熱
処理炉が主流であったが、最近では、外気の巻き込みが
少ないなどの理由から縦型熱処理炉が多く使用されるよ
うになってきている。
【0003】縦型熱処理炉を用いた縦型熱処理装置にお
いては、多数のウエハを上下に間隔をおいて搭載して熱
処理炉に対してロード、アンロードを行うためにウエハ
保持具である縦長のウエハボートが用いられる。図8は
従来のウエハボートを示し、このウエハボート1は、上
下にそれぞれ対向して配置された円形の天板11及び底
板12の間に、例えば石英よりなる4本の支柱13〜1
6が設けられ、そのうち2本の支柱13、14について
はウエハWの進入方向手前側の左右位置をそれぞれ支持
し、また残り2本の支柱15、16については、ウエハ
Wの進入方向奥側の左右位置をそれぞれ支持するような
位置関係に配置されており、断熱材である保温筒17の
上に設けられている。
【0004】保温筒17の上面には、環状のスタンド部
17aが形成され、このスタンド部17aの上にウエハ
ボート1が載置されている。またこの保温筒17の下面
には環状突起(図示せず)が形成され、この環状突起の
下面が蓋体18の上面に面接触して、保温筒17が蓋体
18の上に載置されている。
【0005】そして、各支柱13〜16は、図9に示す
ように各ウエハWが挿入されてその周縁部下面を支持す
るようにウエハWの厚さよりも若干上下の幅が長い溝部
1aが形成されており、手前側の2本の支柱13、14
の間から搬送アーム10により溝部1aに対してウエハ
Wの着脱が行われる。このように構成されたウエハボー
ト1は、処理前のウエハWが所定枚数搭載されると、エ
レベータ19が上昇して図示しない熱処理炉内に導入さ
れ、これによりウエハWがロードされて、所定の熱処理
が行われる。
【0006】
【発明が解決しようとする課題】ところでウエハは大口
径化が進みつつあり、そのサイズは6インチから8イン
チへ移行し始めており、さらには12インチへの移行も
検討されている。このようにウエハが大口径化してくる
と、シリコンの融点(約1410℃)に近い温度例えば
1200℃程度の高温で熱処理を行ったときにウエハボ
ートの支柱により支持されている個所の付近においてス
リップと呼ばれる結晶欠陥がウエハに発生しやすくな
る。このスリップは目視では確認しにくい程度の微小な
断層であり、拡大鏡や顕微鏡などにより見ることができ
る。
【0007】その原因はウエハの自重による内部応力や
ウエハの面内温度不均一に基づく熱応力などが原因で起
こるのではないかと考えられている。この原因について
もう少し詳しく考察すると、図9に示すようにウエハW
は、ウエハボートの支柱13(14〜16)の溝部1a
にて周縁部が支持されており、溝部1aの支面は完全な
平面ではなく微視的には凹凸があり、しかもウエハWは
加熱されて反りが生じているため、溝部1aの支面全面
で支持されているのではなく局所的に支持されている。
従ってウエハWの支持領域は実際には狭く、ウエハWと
溝部1aとが接触しているウエハWの領域では自重によ
り大きな応力が作用している。
【0008】一方ウエハボート1の天板11及び底板1
2は炉内のヒータから加熱されるが、ウエハボート1の
上下にはヒータが存在しないので、天板11及び底板1
2から2次的な輻射熱として放熱され、これらは面状体
であるからその輻射熱量はかなり多い。このためウエハ
ボート1の支柱11〜14から天板11及び底板12へ
熱が伝導し、結局ウエハW→支柱→天板11(底板1
2)に熱が伝導するので、ウエハWの周縁部では温度勾
配が生じる。ここで溝部1aに実際に接触しているウエ
ハWの微小領域に着目すると、この微小領域内には上述
のように大きな応力が加わっており、ここに大きな温度
差が生じるので強い熱応力が加わりウエハWの下面から
上面に向かって断層が突き抜け、スリップが発生するも
のと推察され、特にウエハボートの上下両端に近いもの
ほど、ウエハWからウエハボートの上下両端に流れる熱
量が大きく、スリップが発生しやすいと考えられる。そ
してスリップが素子の配列領域に発生するとその素子は
不良品となるので歩留まりが低下してしまう。
【0009】このようにウエハを熱処理するにあたっ
て、特にシリコンの融点に近い高温で熱処理するにあた
って、ウエハが大口径化してくると、スリップの発生と
いう問題が起こり、このことがウエハの大口径化への移
行を阻む一つの大きな課題となっている。
【0010】本発明はこのような事情のもとになされた
ものであり、その目的は、被処理体を熱処理する場合に
スリップの発生を減少させることのできる縦型熱処理装
置を提供することにある。
【0011】
【課題を解決するための手段】請求項1の発明は、鉛直
方向に伸びる複数の支柱に沿って多数の被処理体を上下
に間隔をおいて保持した被処理体保持具を、縦型の反応
管内に搬入して被処理体を熱処理する縦型熱処理装置に
おいて、前記支柱の下端部を支持する環状の支持部材
と、前記支柱の上端部同士を互に固定する環状の固定部
材と、を備えてなることを特徴とする。
【0012】請求項2の発明は、多数の被処理体を上下
に間隔をおいて保持すると共に保温部を介して蓋体の上
に配置した被処理体保持具を縦型の反応管内に搬入して
被処理体を熱処理する縦型熱処理装置において、前記保
温部と前記被処理体保持部材との間に、各々横断面が狭
小な複数の島領域で支持している熱伝導抑制領域を形成
したことを特徴とする。
【0013】請求項3の発明は、多数の被処理体を上下
に間隔をおいて保持すると共に保温部を介して蓋体の上
に配置した被処理体保持具を縦型の反応管内に搬入して
被処理体を熱処理する縦型熱処理装置において、前記蓋
体と前記保温部との間に、各々横断面が狭小な複数の島
領域で支持している熱伝導抑制領域を形成したことを特
徴とする。
【0014】
【作用】被処理体を被処理体保持具に搭載して反応管内
にロードし反応管内を加熱すると、被処理体保持具の上
端部及び下端部で二次輻射が起こるが、上端部及び下端
部に設けられた支柱の支持部材及び固定部材は環状であ
るため、輻射熱量は少ない。このため支柱において上
端、下端に伝導する熱量は少なくなるので被処理体の周
縁部から支柱に伝導する熱量も少なく、このため被処理
体の面内温度均一性が高くて被処理体の周縁部の熱応力
が小さくなり、スリップの発生を抑えることができる。
また被処理体保持具を保温部により複数の島領域で支持
したり、保温部を蓋部により複数の島領域で支持すれ
ば、被処理体保持具から保温部や蓋部へ伝導する熱量を
少なくできるので、やはりスリップの発生を抑えること
ができる。
【0015】
【実施例】以下本発明を酸化、拡散炉に適用した実施例
について説明する。図1及び図2に示すようにこの実施
例で用いられる被処理体保持具例えばウエハボート2
は、環状の支持部材31の上面に、各々鉛直方向に伸び
る4本の支柱21〜24を周方向に沿って植設すると共
に、各支柱21〜24の上端部同士を環状の固定部材3
2により互に固定して構成され、各支柱21〜24には
ウエハWの周縁部の下面を保持するように上下に所定の
間隔をおいて溝部20が形成されている。前記支柱21
〜24において、2本の支柱21、22についてはウエ
ハWの進入方向手前側の左右位置を夫々支持し、残り2
本の支柱23、24については、ウエハWの進入方向奥
側の左右位置を夫々支持するような位置関係に配置され
ている。これら支柱21〜24、支持部材31及び固定
部材32は例えばSiCにより作られているが、その材
質としてはSiCに限らず例えば石英であってもよい。
【0016】前記ウエハボート2は、保温部例えば石英
よりなる保温筒4を介して、後述の反応管の下端開口部
を塞ぐための蓋体5上に配置されており、この蓋体5は
ボートエレベータ51上に載置されている。前記保温筒
4の上面には筒状のスタンド部41が形成され、このス
タンド部41の上にウエハボート2が載置されている。
【0017】前記ウエハボート2の上方側には縦型炉が
配設されており、縦型炉内にウエハボート2を搬入した
状態を示す図2を参照しながら縦型炉の構造について簡
単に説明する。熱処理領域を形成する反応管6は、各々
略鉛直方向に沿って配置された内管61及び外管62よ
りなる二重管構造に作られると共に、下端のフランジ部
63を介して図示しないベースプレートに固定されてい
る。この反応管6の下端部の外周面は断熱材64により
覆われている。また内管61の頂部には、多数のガス流
路である細孔60が形成されており、さらに外管62の
側壁にはガス導入管65が連結されている。そして、内
管61には排気管66が接続され排気が行われるように
構成されている。
【0018】前記反応管1の外側には、当該反応管1を
取り囲むように例えばSiCよりなる筒状の均熱管67
が配設されており、この均熱管67の外側には、当該均
熱管67を囲むようにヒータ68が配設されている。こ
のヒータ68は、断熱層68aの内側に抵抗発熱線68
bをコイル状に巻いて構成される。
【0019】次に上述実施例の作用について述べる。先
ずヒータ68を発熱させて均熱管67を介して反応管1
内を例えば約800℃の均熱状態に加熱する。一方ボー
トエレベータ3を反応管6の下方側に位置させておい
て、搬送アーム52によりウエハボート2に未処理のウ
エハWを例えば100枚搭載した後、ウエハボート2を
蓋体5により反応管7の下端開口部を塞ぐ位置(図2に
示す位置)まで上昇させ、ウエハWを反応管7内にロー
ドする。ウエハWが反応管7内の雰囲気と同じ温度に達
した後、ヒータ68を更に発熱させて反応管7内の温度
を例えば1200℃に昇温させ、ガス導入管65から細
孔60を経て内管61内に処理ガスを導入すると共に排
気管66により排気しながら反応管6内を所定の圧力例
えば常圧に維持して、ウエハWに対して例えば拡散処理
を行う。
【0020】ここでウエハボート2の熱の流れについて
述べると、ウエハW及びウエハボート2の支柱21はヒ
ータ68により均熱管67を介して輻射熱を受けて加熱
される。一方ウエハボート2の上方及び下方にはヒータ
が存在しないため、ウエハボート2の上端及び下端即ち
固定部材32及び支持部材31から二次輻射が起こって
放熱されるが、これら固定部材32及び支持部材31は
環状であるため、輻射面積が小さい。従って図3に示す
ようなウエハW→支柱21〜24の溝部20の支持面→
支柱21〜24の上端部(下端部)のループで伝導する
熱の流れ(矢印で示す)は、従来のように面状体である
天板及び底板が設けられていたウエハボートに比べて小
さくなり、この結果支柱21〜24において上下両端部
とそれ以外の個所との温度差は小さくなる。このためウ
エハWの面内温度均一性が高くなるのでのでウエハWの
周縁部にて溝部20により支持されている領域における
熱応力が小さくなり、スリップの発生が抑えられる。
【0021】上述の実施例の効果を図4に示すと、横軸
はスリップの発生の程度(1枚のウエハに発生するスリ
ップの長さの合計)、縦軸はウエハボート上のウエハの
高さ位置であり、実線(1)が実施例の結果、点線
(2)が従来のウエハボートを用いた場合の結果であ
る。この図からわかるように、実施例の場合従来に比べ
てウエハボートの上下両端付近のスリップの発生の程度
が小さくなり、更に上下両端部から中央に向かうにつれ
てスリップの発生の程度は急激に少なくなる。これに対
し従来の場合スリップの発生の程度の減小カーブは緩や
かであり、この結果からわかるように実施例によればウ
エハボートの広い高さ領域に亘ってスリップの発生を小
さくすることができ、スループットを向上させることが
できる。
【0022】また本発明では、スリップの発生を抑える
構造として、図5に示す構造を採用してもよい。この実
施例では、ウエハボート2は下端及び上端にて夫々面状
体である底板25及び天板26を備えると共に、保温筒
4の上面に例えば横断面が狭小な3つの支持部材71〜
73を周方向に3等分した位置に配設して、これら支持
部材71〜73により、いわば3点でウエハボート2を
支持し、更に蓋体5の上面にも横断面が狭小な3つの支
持部材81〜83を周方向に3等分した位置に配設し
て、これら支持部材81〜84によりいわば3点で保温
筒4を支持した構成としている。
【0023】このような構成によれば、ウエハボート2
の底部から保温筒4を介して蓋部5に熱伝導により放熱
されていくが、この熱の流れに対し、支持部材71〜7
3及び支持部材81〜83が熱伝導抑制領域として機能
し、つまり熱伝導路が絞られているので下方への放熱を
抑える働きをし、この結果ウエハボート2の底部の温度
低下が抑制される。従ってウエハWの周縁部から支柱2
1〜24へ逃げる熱量が小さくなり、ウエハWの周縁部
における熱応力が小さくなり、スリップの発生が抑えら
れる。
【0024】このような構造の装置と従来の装置とを用
いて、ウエハWを1200℃で5時間加熱し、この処理
をウエハWを交換して3回行ったところ、従来装置にお
けるウエハボートの最下段のウエハWのスリップの長さ
の合計は約25mmであったのに対し、実施例では約6
mmであり、上述の構造が有効であることが確認でき
た。
【0025】また本発明では図1に示す構造と図5に示
す構造とを両方兼備する構成としてもよく、このような
縦型熱処理装置を図6に示しておく。更にウエハボート
2と保温筒4との間及び保温筒4と蓋体5との間に熱伝
導抑制領域(上述の実施例でいえば支持部材71〜7
3、81〜83が配設されている個所)を形成するにあ
たっては、3点支持に限らず、4点以上で支持してもよ
いが、できるだけ支持点の面積を小さくして熱伝導を抑
えることが好ましい。
【0026】更にまたこのような熱伝導抑制領域は、保
温筒4とウエハボート2との間、及び保温筒4と蓋体5
との間の一方だけに形成してもよいし、また保温筒4や
蓋体5の上に支持部材を立設する代りに、例えば図7に
示すような筒状の中間支持部材9を別途用意し、この中
央に周方向の3個所の島領域91〜93を除いてスリッ
ト90を入れて熱の流路を絞るようにしてもよい。
【0027】なおウエハボートは、支柱に溝以外のウエ
ハ支持部例えばリング状の載置部を棚状に設けたもので
あってもよい。そしてまた本発明は、酸化、拡散炉に限
らずCVD、エッチングなどの熱処理装置に適用するこ
とができ、また被処理体としては半導体ウエハに限らず
LCD基板などであってもよい。
【0028】
【発明の効果】以上のように本発明によれば、被処理体
保持具の放熱を抑えることができるため、被処理体の面
内温度均一性が高く、従って被処理体の周縁部における
熱応力を緩和することができ、被処理体のスリップの発
生を減少させることができる。
【図面の簡単な説明】
【図1】本発明の実施例の概観を示す斜視図である。
【図2】本発明の実施例を示す縦断面図である。
【図3】ウエハボートの熱伝導の様子を模式的に示す説
明図である。
【図4】本発明の実施例におけるスリップ発生の程度を
従来例と比較して示す特性図である。
【図5】本発明の他の実施例の要部を示す分解斜視図で
ある。
【図6】本発明の更にまた他の実施例を示す縦断面図で
ある。
【図7】本発明の更に他の実施例における支持具を示す
斜視図である。
【図8】従来のウエハボートを示す斜視図である。
【図9】従来のウエハボートを拡大して示す説明図であ
る。
【図10】ウエハボートにおけるウエハの支持部位を拡
大して示す説明図である。
【符号の説明】
2 ウエハボート 21〜24 支柱 31 支持部材 32 固定部材 4 保温筒 5 蓋体 6 反応管 68 ヒータ 71〜73 支持部 81〜83 支持部 9 支持具 W 半導体ウエハ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 榑林 剛 神奈川県津久井郡城山町町屋1丁目2番41 号 東京エレクトロン東北株式会社相模事 業所内

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】 鉛直方向に伸びる複数の支柱に沿って多
    数の被処理体を上下に間隔をおいて保持した被処理体保
    持具を縦型の反応管内に搬入して被処理体を熱処理する
    縦型熱処理装置において、 前記支柱の下端部を支持する環状の支持部材と、 前記支柱の上端部同士を互に固定する環状の固定部材
    と、 を備えてなることを特徴とする縦型熱処理装置。
  2. 【請求項2】 多数の被処理体を上下に間隔をおいて保
    持すると共に保温部を介して蓋体の上に配置した被処理
    体保持具を縦型の反応管内に搬入して被処理体を熱処理
    する縦型熱処理装置において、 前記保温部と前記被処理体保持具との間に、各々横断面
    が狭小な複数の島領域で支持している熱伝導抑制領域を
    形成したことを特徴とする縦型熱処理装置。
  3. 【請求項3】 多数の被処理体を上下に間隔をおいて保
    持すると共に保温部を介して蓋体の上に配置した被処理
    体保持具を縦型の反応管内に搬入して被処理体を熱処理
    する縦型熱処理装置において、 前記蓋体と前記保温部との間に、各々横断面が狭小な複
    数の島領域で支持している熱伝導抑制領域を形成したこ
    とを特徴とする縦型熱処理装置。
JP28778693A 1993-03-09 1993-10-21 縦型熱処理装置 Expired - Fee Related JP3348936B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP28778693A JP3348936B2 (ja) 1993-10-21 1993-10-21 縦型熱処理装置
US08/206,825 US5458688A (en) 1993-03-09 1994-03-08 Heat treatment boat
KR1019940004571A KR100290047B1 (ko) 1993-03-09 1994-03-09 열처리용보트
US08/430,482 US5482559A (en) 1993-10-21 1995-04-28 Heat treatment boat

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28778693A JP3348936B2 (ja) 1993-10-21 1993-10-21 縦型熱処理装置

Publications (2)

Publication Number Publication Date
JPH07122513A true JPH07122513A (ja) 1995-05-12
JP3348936B2 JP3348936B2 (ja) 2002-11-20

Family

ID=17721729

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28778693A Expired - Fee Related JP3348936B2 (ja) 1993-03-09 1993-10-21 縦型熱処理装置

Country Status (2)

Country Link
US (1) US5482559A (ja)
JP (1) JP3348936B2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09293685A (ja) * 1996-02-28 1997-11-11 Asahi Glass Co Ltd 縦型ウエハボート
JP2007180331A (ja) * 2005-12-28 2007-07-12 Ishikawajima Harima Heavy Ind Co Ltd 熱処理装置
JP2008016742A (ja) * 2006-07-07 2008-01-24 Toshiba Corp 成膜装置、熱緩衝部材及び成膜方法
KR20170058280A (ko) * 2015-11-18 2017-05-26 도쿄엘렉트론가부시키가이샤 웨이퍼 보트 지지대 및 이것을 사용한 열처리 장치
CN112281139A (zh) * 2020-10-30 2021-01-29 东北石油大学 一种化学气相沉积管式炉实验装置

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
USD380454S (en) * 1995-05-30 1997-07-01 Tokyo Electron Limited Wafer boat
USD378823S (en) * 1995-05-30 1997-04-15 Tokyo Electron Limited Wafer boat
USD378675S (en) * 1995-05-30 1997-04-01 Tokyo Electron Limited Wafer boat
JPH09260364A (ja) * 1996-03-26 1997-10-03 Tokyo Electron Ltd 熱処理方法および熱処理装置
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
US5848889A (en) * 1996-07-24 1998-12-15 Applied Materials Inc. Semiconductor wafer support with graded thermal mass
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
WO1998030854A1 (en) * 1997-01-07 1998-07-16 Silicon Valley Group, Inc. Thermal processing apparatus and process
NL1005963C2 (nl) * 1997-05-02 1998-11-09 Asm Int Verticale oven voor het behandelen van halfgeleidersubstraten.
US6133121A (en) * 1997-10-15 2000-10-17 Kabushiki Kaisha Toshiba Apparatus for supporting semiconductor wafers and semiconductor wafer processing method using supporting apparatus
US5800616A (en) * 1997-12-15 1998-09-01 Sony Corporation Vertical LPCVD furnace with reversible manifold collar and method of retrofitting same
US6200388B1 (en) 1998-02-11 2001-03-13 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6048403A (en) * 1998-04-01 2000-04-11 Applied Materials, Inc. Multi-ledge substrate support for a thermal processing chamber
US6280183B1 (en) 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6027569A (en) * 1998-06-03 2000-02-22 Seh America, Inc. Gas injection systems for a LPCVD furnace
US6171400B1 (en) * 1998-10-02 2001-01-09 Union Oil Company Of California Vertical semiconductor wafer carrier
JP4045689B2 (ja) * 1999-04-14 2008-02-13 東京エレクトロン株式会社 熱処理装置
US6246031B1 (en) * 1999-11-30 2001-06-12 Wafermasters, Inc. Mini batch furnace
US6378538B1 (en) * 1999-12-13 2002-04-30 Advanced Micro Devices, Inc. Durable, multi-piece rotor for spray acid tools
US6287112B1 (en) * 2000-03-30 2001-09-11 Asm International, N.V. Wafer boat
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US6497403B2 (en) 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
US6442950B1 (en) * 2001-05-23 2002-09-03 Macronix International Co., Ltd. Cooling system of chamber with removable liner
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20060083495A1 (en) * 2002-07-15 2006-04-20 Qiu Taiquing Variable heater element for low to high temperature ranges
US6582221B1 (en) 2002-07-19 2003-06-24 Asm International N.V. Wafer boat and method for treatment of substrates
US6727194B2 (en) * 2002-08-02 2004-04-27 Wafermasters, Inc. Wafer batch processing system and method
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
KR100491161B1 (ko) * 2002-11-26 2005-05-24 주식회사 테라세미콘 반도체 제조장치
JPWO2004075272A1 (ja) * 2003-02-21 2006-06-01 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
DE602004025366D1 (de) * 2003-07-02 2010-03-18 Cook Inc Koaxialen Katheter
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
US20060004493A1 (en) * 2004-06-30 2006-01-05 Jack Hwang Use of active temperature control to provide emmisivity independent wafer temperature
TWI366234B (en) * 2004-06-30 2012-06-11 Intel Corp Method, apparatus and system to control temperature of a wafer edge or wafer edge support during heating, and machine-readable medium having data therein
US20060286807A1 (en) * 2005-06-16 2006-12-21 Jack Hwang Use of active temperature control to provide emmisivity independent wafer temperature
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150110207A (ko) * 2014-03-24 2015-10-02 주식회사 테라세미콘 보트
CN103928373B (zh) * 2014-04-28 2016-10-12 北京七星华创电子股份有限公司 一种半导体热处理设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1137807B (de) * 1961-06-09 1962-10-11 Siemens Ag Verfahren zur Herstellung von Halbleiteranordnungen durch einkristalline Abscheidung von Halbleitermaterial aus der Gasphase
JPS53148283A (en) * 1977-05-30 1978-12-23 Toshiba Ceramics Co Silicon wafer jig
JPS61244040A (ja) * 1985-04-22 1986-10-30 Sony Corp ウエハ移載具
JPS63102225A (ja) * 1986-10-20 1988-05-07 Deisuko Haitetsuku:Kk 縦形半導体熱処理装置のウエ−ハボ−ト
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
JPH021116A (ja) * 1988-03-09 1990-01-05 Tel Sagami Ltd 熱処理装置
US5169453A (en) * 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US5261776A (en) * 1989-04-27 1993-11-16 Micron Technology, Inc. Vacuum operated wafer transfer apparatus
US5162047A (en) * 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
US5146869A (en) * 1990-06-11 1992-09-15 National Semiconductor Corporation Tube and injector for preheating gases in a chemical vapor deposition reactor
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JP3138868B2 (ja) * 1990-10-18 2001-02-26 東京エレクトロン株式会社 縦型熱処理装置
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
JP3234617B2 (ja) * 1991-12-16 2001-12-04 東京エレクトロン株式会社 熱処理装置用基板支持具
JP3100252B2 (ja) * 1992-05-26 2000-10-16 東京エレクトロン株式会社 被処理体用ボート及びそれを用いた被処理体の移し換え方法ならびに熱処理装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09293685A (ja) * 1996-02-28 1997-11-11 Asahi Glass Co Ltd 縦型ウエハボート
JP2007180331A (ja) * 2005-12-28 2007-07-12 Ishikawajima Harima Heavy Ind Co Ltd 熱処理装置
JP2008016742A (ja) * 2006-07-07 2008-01-24 Toshiba Corp 成膜装置、熱緩衝部材及び成膜方法
KR20170058280A (ko) * 2015-11-18 2017-05-26 도쿄엘렉트론가부시키가이샤 웨이퍼 보트 지지대 및 이것을 사용한 열처리 장치
JP2017098279A (ja) * 2015-11-18 2017-06-01 東京エレクトロン株式会社 ウエハボート支持台及びこれを用いた熱処理装置
TWI677051B (zh) * 2015-11-18 2019-11-11 日商東京威力科創股份有限公司 晶舟支撐台及使用其之熱處理裝置
CN112281139A (zh) * 2020-10-30 2021-01-29 东北石油大学 一种化学气相沉积管式炉实验装置

Also Published As

Publication number Publication date
JP3348936B2 (ja) 2002-11-20
US5482559A (en) 1996-01-09

Similar Documents

Publication Publication Date Title
JPH07122513A (ja) 縦型熱処理装置
JP3125199B2 (ja) 縦型熱処理装置
KR100290047B1 (ko) 열처리용보트
US7077913B2 (en) Apparatus for fabricating a semiconductor device
JP4786177B2 (ja) サセプタを含む処理チャンバ内で半導体基板を加熱するプロセスおよびシステム
JP2000323487A (ja) 枚葉式熱処理装置
JPH0992625A (ja) 熱処理用ボ−ト
JPH08330318A (ja) 被処理体の支持ボート
JPH0982655A (ja) 熱処理方法
JP2003531489A (ja) ウェハーを熱処理する方法および装置
JP3242281B2 (ja) 熱処理装置
JPH09199438A (ja) 熱処理用治具
JPH07312351A (ja) 熱処理方法
JP4468555B2 (ja) 熱処理装置および熱処理方法
JPH10242067A (ja) 熱処理用基板支持具
JPH06260438A (ja) 熱処理用ボート
JPH0737827A (ja) 熱処理装置
JPH1092754A (ja) 枚葉式の熱処理装置及び熱処理方法
JP3084232B2 (ja) 縦型加熱処理装置
JPH08330245A (ja) 半導体装置の製造方法及び半導体装置の製造装置
JP3240187B2 (ja) 熱処理方法及びそれに用いる縦型熱処理装置
JPH07326593A (ja) 熱処理装置及び熱処理方法
JPH08330317A (ja) 半導体装置の製造方法
JPH08130192A (ja) 熱処理装置
JPH08335575A (ja) 熱処理装置および方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110913

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees