JPH04234119A - 半導体ウエハの処理装置および方法 - Google Patents

半導体ウエハの処理装置および方法

Info

Publication number
JPH04234119A
JPH04234119A JP3251183A JP25118391A JPH04234119A JP H04234119 A JPH04234119 A JP H04234119A JP 3251183 A JP3251183 A JP 3251183A JP 25118391 A JP25118391 A JP 25118391A JP H04234119 A JPH04234119 A JP H04234119A
Authority
JP
Japan
Prior art keywords
processing
semiconductor wafer
processing apparatus
pressure vessel
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3251183A
Other languages
English (en)
Other versions
JP3069412B2 (ja
Inventor
Charles A Boitnott
チャールス・エイ・ボイトノット
Monte M Toole
モンテ・エム・トゥール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GaSonics Inc
Original Assignee
GaSonics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GaSonics Inc filed Critical GaSonics Inc
Publication of JPH04234119A publication Critical patent/JPH04234119A/ja
Application granted granted Critical
Publication of JP3069412B2 publication Critical patent/JP3069412B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/005Oxydation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体ウエハの処理にお
ける改良に関し、特に、比較的高速で半導体ウエハをバ
ッチ処理するための方法および装置に関する。
【0002】
【従来の技術】半導体ウエハに酸化物を成長させるため
に水蒸気および流体圧力を用いることはよく知られてお
り、過去において広く用いられてきた。この目的のため
の典型的な温度は約900℃ないし1000℃であり、
また流体圧力は約10気圧ないし25気圧である。これ
らの操作条件下、バッチ中のウエハは、妥当な収率のた
め容認できる速度たとえば2時間より短時間で、中程度
の速度で処理することができる。上記した時間は、有益
な生産量を得るために容認できる時間である。このよう
に、バッチ処理は容認可能な処理条件を提供するととも
に、高い処理能力を与えるものである。
【0003】
【発明が解決しようとする課題】半導体ウエハのための
改良された垂直ホルダーすなわち塔により、ウエハのバ
ッチ処理は迅速に行われている。このバッチウエハの傾
向の理由としては、塔内のウエハをより大きく制御する
こと、ウエハが比較的高価であること、およびウエハの
サイズが比較的大きいことがある。さらに、ウエハをよ
り大きく制御できることにより、またウエハがバッチ内
にある場合には、ウエハの損傷や破損の可能性が最小限
に抑えられることによる。また、ウエハのサイズが大型
化していることによる。典型的には、6インチウエハが
現在使われているが、将来8インチおよび12インチウ
エハが使用されることが予想される。バッチ処理の従来
技術に付随する問題のため、半導体ウエハのバッチ処理
をより経済的に実行可能なものとする、半導体ウエハの
バッチ処理における改良の必要性が存在する。本発明は
この必要を満たすものである。
【0004】本発明の分野に関連する開示には、米国特
許第4,167,915号、同4,268,538号、
同4,315,479号および同4,599,247号
がある。ここに、記述する方法および装置は、単一ウエ
ハ処理装置および、米国特許出願第311,686号(
1989年2月15日出願)において開示した方法に改
良を加えたものである。
【0005】
【課題を解決しようとするための手段】本発明は、バッ
チウエハ処理システムとして、半導体ウエハ上の熱酸化
層の成長、半導体成分の成長を、経済的に成立するよう
に十分早く成長させるための方法および装置を提供する
ことに向けられている。本発明のすべての特徴は、適切
なウエハ生産量を提供するとともに容認可能な処理条件
を達成するために協働するものである。
【0006】処理速度は高圧、高温により確保される。 たとえば、圧力が約10気圧(150psi)で、温度
が850℃の場合、水蒸気環境下ウエハ上に1500Å
の酸化物層を形成するのに必要とされる時間は約7.0
分である。系は約27分で周囲条件からこれらの操作条
件に到達し、約42分で減圧および冷却される。
【0007】本発明の装置は、圧力容器内に底から装入
される独特の処理室を含んで成り、ウエハはクリーンル
ームの条件下、処理室の底へ供給される。処理室は、高
圧水蒸気、または乾燥酸素等の酸化剤で加圧される。圧
力容器は、窒素等の不活性ガスにより高圧に加圧される
【0008】本発明の他の特徴は、密封度および清浄性
を確保するために、圧力容器を、処理室の圧力に対し、
相対的に1psi程度の小さな正圧に保つ圧力制御方法
を用いたことにある。圧力制御は本発明の装置が高度に
フレキシブルであることを許容し、真空から25気圧あ
るいはそれ以上といった高圧にいたる、また、900℃
あるいはそれ以上までにわたる温度範囲における広範囲
の操作条件が可能である。
【0009】本発明を用いて要求される処理速度および
純度を得るために、石英塔またはホルダー中の半導体ウ
エハは圧力容器の中にある処理室に置かれ、次いでその
処理室は閉鎖され、水蒸気または乾燥酸素等の酸化剤に
より加圧される。処理室の外側に設置したヒーターは、
処理室内部を加熱するために用いる。水蒸気を発生させ
、30psi/分程度の割合で圧力を上げる。処理室の
壁は、熱的冷却を最小限に押えるために比較的薄くなけ
ればならないので、流体圧力の差による処理室壁の破損
を防止するため、等圧化を含む独特の室および容器の分
離方法が必要となる。処理室および圧力容器における流
体圧力の制御は、圧力センサーおよび流体弁を用いて電
子的に行なう。
【0010】水蒸気は、圧力容器内部にあって処理室外
部上端にある独特のボイラー中で発生する。水蒸気は処
理室中に流入し、処理室を通ってその外部に流出し、等
圧力化手段の一部を構成する排気チューブを通して排気
される。
【0011】本発明の第1の目的は、半導体ウエハをバ
ッチ処理するための改良された方法および装置であって
、その処理は半導体ウエハの処理室内のボトム・ローデ
ィングを含み、該処理が高度にフレキシブルで、最適処
理条件を遂行しつつ高い生産率で複数のウエハに酸化物
を与えることに適した、半導体ウエハをバッチ処理する
ための改良された方法および装置を提供することにある
【0012】本発明の他の目的は、本発明の一態様を説
明するために添付した図面を参照した以下の説明によっ
て明らかになってくるであろう。
【0013】
【実施例】半導体ウエハのバッチ処理装置を一般的に番
号10で示す。半導体ウエハのバッチ処理装置10は処
理室部14を含む圧力室部11を格納するための閉じら
れた区隔室12を形成する手段を含む。複数のカセット
もしくはボート16(図2−5)は積み重ねた半導体ウ
エハ18を含み、半導体ウエハ18はカセット16に乗
せられてビデオ表示器22およびコントロールパネル2
4に隣接する出し入れ用開口部20を通して区隔室12
へ導入される。ビデオ表示器22と制御板24はカセッ
ト16を区隔室12中で移動させるために用いられる。
【0014】閉じた区隔室12を形成する手段はコーナ
ーポスト26を含み、コーナーポスト26は、高圧室2
8および超高性能フィルター(ヘパ・フィルター)30
を付与するためのパネルを有している。かくして、区隔
室12に最も質の高いクリーンルーム特性を与えること
ができる。
【0015】区隔室12には、図1に示した圧力容器部
11に隣接してxyz移動ユニット32が設置してある
。ユニット32のx部分34はカセット16を部分34
の長さに沿ってx方向、つまり矢印35の方向に移動さ
せる。y部分36はx部分34をy方向、つまり矢印3
8の方向に移動し、z部分40は上下方向に移動可能で
あり、一対の水平脚43を有している。水平脚43は石
英塔45の把手44を通して配置される。石英塔45は
垂直に配列した処理すべき半導体ウエハを支持するため
に使用されるものであり、半導体ウエハは石英塔45と
ともに底を通して処理室部14へ導入される。
【0016】シフト腕46は間隔の開いた一対の指48
(図1)を有し、腕46はユニット32と結合した制御
手段50と結合している。それにより、xyzユニット
32の部分34、36および40を操作することにより
、腕46をカセット16に対し移動させることができる
。腕46の指48は、また石英塔45に処理すべきウエ
ハ18を充填するためにウエハ18をカセット16から
石英塔45内に移動させる際、半導体ウエハ18を支持
する。区隔室12には、個々のウエハ18をターンテー
ブル49(図1)上で中心合わせをするための他の制御
手段47が設けられている。この手段により、ウエハを
腕46により石英塔45中の水平位置にそれぞれ移動す
る際、ウエハが塔45に対し適当に配向させられる。 このウエハの中心合わせにより、ウエハの挿入の間、ウ
エハの端が塔上でひっかかることを防止することができ
、ウエハを取り囲む雰囲気を汚染しかねない粒子が形成
されることを防ぐことができる。
【0017】手段50は腕46を腕46と結合した延長
した軸状の素子52の中心軸51のまわりに回転させる
操作をする。腕46を回転させる目的は、処理室部14
においてウエハを処理する前後に半導体ウエハ18を塔
45に出し入れする際、該腕を塔45近傍の狭い場所か
ら移動することにある。
【0018】図2は処理すべき半導体ウエハ18を含む
カセット16がある区隔室12を示す。カセットは区隔
室12を形成するハウジングの一つの側55に隣接する
棚53上に支持されている。カセット16は、図2に示
すように、底ふた56上に配置すべき半導体ウエハ18
を含んだ塔45にも隣接している。該塔は番号45で示
され、把手44は塔の上端にある。第2の塔45aが塔
45の上にあり、その位置は、ウエハ18を処理して処
理室部14から取り出した後、ウエハを冷却するための
位置である。
【0019】カセット16および処理室部14の底56
より下の下部空間57(図2)は、装置10の各種要素
を操作する上で必要とされる空気移動手段と電源手段を
含む。カセット16を区隔室12に導入する入口ゲート
20を、図2において、カセット16の底の列と並ぶ水
平配列において示す。尚、簡単のために、図2において
xyzユニット32は示されていない。
【0020】xyzユニット32は図3に示されており
、圧力容器11に隣接し、処理室部14において処理す
べき半導体ウエハ18の垂直に積み重ねたカセット16
から離してある。高圧室28からヘパ・フィルター30
を通過してカセット16に向い、それを通過する空気流
を空気流矢印60で示す。この空気流により、区隔室1
2のクリーンルーム特性は常時保持される。
【0021】図4は、底ぶた56が下降した状態にあっ
て開放状態の圧力容器部11を示す図である。底ぶたを
圧力容器部11の底を閉じる位置まで上昇させる前に、
半導体ウエハ18の第1の塔45がふた56上に支持さ
れている。図4は、xyzユニット32の部分を構成し
、ウエハ塔45の把手44(図1−3)に挿入する一対
の指43も示す。指43は塔をふた56の中心台座56
aに、また中心台座56aから移動させることを容易に
する。番号45aで示され、半導体ウエハ18のカセッ
ト16の上にある他のウエハ塔では、ウエハ18がカセ
ットより1つづつ取り出されて該ウエハ塔内に配置され
る。図4において、他のカセット16を図式的に待機位
置で示す。これらのカセットは、半導体ウエハ18を待
機中のカセット16から塔45a中の位置に移すことが
できるよう、塔45aの下に示される位置等にxyzユ
ニット32によって移動させるまで待機しているのであ
る。図4は、カセット16を区隔室12に導入し、図4
に示される待機位置まで移動させることができる出し入
れ開口部20も示す。
【0022】図5は、半導体ウエハ18のカセット16
を区隔室12中へ導入することができる、出入れ用開口
部21に隣接した入口開口部20を示す。図5は、ふた
56を区隔室の底面59に隣接した位置まで下降させて
開放状態にある圧力容器部11も示している。
【0023】図5は、腕46が、xyzユニット32に
よって運ばれ、該腕が半導体ウエハ18をカセット16
から塔45へ移している状態を明確に示す。スピン手段
47、48(図1)がそれぞれのウエハ18に対し付与
されており、ウエハを腕46によって対応する塔45に
配置する際、該ウエハは適当に中心合わせがなされ、し
たがって塔壁をこすることもなく、また、粒子を生成す
ることもない。腕46は、挿入の際ウエハのひっかきの
を防止するために塔45のスロットを光学的に発見する
光学デバイス46a(図1)も有している。
【0024】圧力容器部11は、一対の間隔を置いた、
一般には垂直であるポスト64および66(図7)の上
端上に支持されている。ポスト64および66は、区隔
室12を含むハウジングの底面に取り付けるための足6
8上に搭載されている。
【0025】ふた56は塔45を容器の上端に支持する
円筒状部材59を含んで成り、該円筒状部材はふた56
の上部の縁に差し込み接続70(図6および7)により
結合している。流体ピストンおよびシリンダアッセンブ
リ72(図6)は、その一端においてリングジョイント
74と接続されている。アッセンブリ72の反対側の端
はポスト64または66の一方と接続している。ジョイ
ント74は、その上に差し込み接続手段70が設けられ
た下部ジョイント部材76を有する。ふた56が図6−
8に示す上昇位置に来ると、デバイス72が励起されて
ふた56に対し相対的にリングジョイント74を回転さ
せ、差し込み接続手段70がふた56とジョイント74
を接続する。これによりふた56がリングジョイント7
4の下リングに閉鎖され、密封される。これが起こる前
に、一つもしくはそれ以上の流体動作パワー・デバイス
77(図6および図8)の動作により、半導体ウエハ1
8の塔45が、処理室部14を形成するベルジャー(b
ell  jar)内へ導入される。また、デバイス7
7の動作により一対の流体シールも相互に係合する。こ
れらのシールは図12および21に示してあり、後に説
明する。塔45が反応室手段14に支持されると、加圧
された水蒸気および窒素等のガスが夫々圧力容器および
処理室部に満たされる。これは処理室部内の塔における
半導体ウエハの処理に効果を与えるために行われのであ
る。
【0026】一対の側支持部材80および82がそれぞ
れ対向する側でふた56と結合している(図6−8)。 支持部材80および82はポスト64および66に移動
可能に結合しており、そのため上下に動くことができ、
ふた56を上方に、また、下方に移動させることができ
る。
【0027】流体ピストン・シリンダアッセンブリ84
(図7および8)が、その上端にて固定支持部材86に
、そしてその下端にて支持部材80または82の一方の
上にあるブラケットに結合している。ピストン・シリン
ダアッセンブリ84は、支持部材80および82を図8
の点線の位置から実線の位置まで上昇させるために使用
される。ふた56は図8の点線の位置から、処理室およ
び圧力容器11の下端を閉鎖する実線の位置まで移動さ
れる。ピストン・シリンダアッセンブリ84のシリンダ
に加圧した流体を供給する手段が設けてあり(図示せず
)、該手段がピストンを上方向に引き、ふた部材56を
図8に示すその実線の位置まで引き上げる。圧力容器1
1に対してふた56を下降させるため、上記流体は重力
によりピストン・シリンダアッセンブリ84のシリンダ
から流出する。したがって、ピストン・シリンダアッセ
ンブリ84のピストンの上端部に加えられた駆動流体の
影響下、上記ふたを下向きに駆動することなしにふた5
6が下降する。
【0028】図9は、互いに対向する側にポスト64お
よび66を伴った圧力容器11を示す。ポストはそれぞ
れ支持部材80および82を上方に、また、下方に導く
トラック67を有し、それによりふた56は圧力容器1
1に対し相対的に上昇、下降せられる。
【0029】図10および図11は装置10の流体操作
系の模式図の分図であり、図10の右端に図示11の左
端が接続されるものである。
【0030】図10および図11の流体系は、脱イオン
水チャネル92に接続する管路91を伴った脱イオン水
注入口90を含む。脱イオン水チャネル92は、半導体
ウエハ18の塔45が配置される処理室96を形成する
ベルジャー95の上端上の水蒸気発生器94に結合する
バルブ93を有している。水蒸気発生器94からの水蒸
気は処理室96に入り、処理室96中の半導体ウエハを
酸化させる。排気水蒸気は長尺の管路97を通り、管路
98に沿って外部に排気される(図11)。
【0031】窒素あるいは他の不活性ガスを流すために
流体注入口98(図10)が設けてある。この窒素また
は他の不活性ガスは番号99(図10)で示される高圧
ガスパネルを通り、次いで圧力モニタ100(図11)
を通り、管路101(図11)に沿って圧力容器11に
至る。
【0032】酸素を水蒸気のかわりに酸化剤として使用
する場合には、酸素注入口103を設けることができる
。洗浄の目的のために、HCl等の塩素系化合物を注入
口104から洗浄系105に供給することができる。 排気系106は、希望するときまたは必要と思われる際
に、ガスを管路107に沿って大気中に排気するために
設けてある。排気管路108は、洗浄目的で使用した後
にHClを排気するために設けた。
【0033】図12において、圧力容器11は円形の上
ぶた110を含み、該上ぶた110は、相互に隣接しそ
の間に冷却剤を受け入れるための壁112および113
を有する二重壁ハウジングの上端111上に、いずれか
の適切な方法でしっかりと固定してある。ベルジャー9
5が圧力容器11の内部に設けられており、円筒状の加
熱手段114によって取り囲まれている。加熱手段11
4により、ベルジャー95の内部が加熱され、したがっ
てベルジャー95内の塔内にある半導体ウエハ(図21
)が加熱される。加熱手段114は、円筒形であり、か
つベルジャー95の外表面を取り囲む、二つもしくはそ
れ以上の部分から構成することができる。加熱手段11
4との適切な電気的接続は迅速かつ容易に行うことがで
き、加熱手段114に電力を供給することができる。
【0034】ベルジャー95は薄壁の不活性物質、たと
えば石英から成り、図21に示すように湾曲した底11
5を有し(図21)、底115は角のある下方向に延長
した部分116(図12)を有し、ベルジャー95の底
にあるフランジ119の底面と係合する輪状のシール1
18を付与された水平の輪状部分117を有する。シー
ル120は、フランジ119と圧力容器11の壁113
上にあるフランジ121の間で係合する。フランジ11
7および119はともに石英で構成されており、開口部
118および120(図12)により石英−石英シール
をなす。これらのシールは、動力デバイス77(図6お
よび8)が動作された際に互いに係合する。
【0035】フィードスルー(feed  throu
gh)コネクタ122および123(図12)が圧力容
器11に支持されており、これらは圧力容器11の内部
にパワーリードを提供し、ヒーター114および他のヒ
ーター、たとえばベルジャー95上の上部平面ヒーター
124および、底56(図21)の下の下部平面ヒータ
ー125を励起する。流体入力装置126および流体出
力装置127は、窒素等の不活性ガスを圧力容器11の
内部でベルジャー95の周囲に導くために設けてある。
【0036】上下冷却板127および128は、水等の
冷却剤を受け入れるために設置されており、それぞれヒ
ーター124のすぐ上およびヒーター125のすぐ下に
設けてある(図12)。水蒸気注入口129は開口部1
30を通ってベルジャー95内部に達しており(図12
)、図21に示すようにベルジャー95の底115の近
辺において下開口部131を有する。
【0037】電力入力コネクタ122およびガス注入口
126を、水蒸気注入口129および水蒸気排出口13
2を有するベルジャー95との取囲み関係において図1
3に示す。第2の電力導入ユニット122を設けること
ができる。さらに、チューブ133をベルジャー95の
外周のまわりに配置し、その中に熱電対を配してベルジ
ャー95外表面の近傍の温度を測定することができる。 この温度測定により、ベルジャー95内部の水蒸気また
は酸素の温度の目安が得られる。
【0038】平面ヒーター124および125(図12
)は図14に示すヒーターの形状を有する。該ヒーター
は、同一平面上にある複数の平行セグメントを有し、該
セグメントは図14において番号124aで示される。 上記セグメントは両端において相互に結合して所定の長
さの単一のセグメントを形成し、ヒーターを加熱するた
めに電力を供給する端子140および141に接続され
ている。隔離石英リブ142は、ヒーターのセグメント
が隣接する構造、たとえばヒーター125に隣接する冷
却板128(図12)など物理的に接触することを防止
するために設けられている。離隔石英リブ142を、冷
却板128の上面と係合した状態で図15に示す。
【0039】流体管路144は、図16に示されるよう
に管状である冷却板128に冷却剤を供給するために設
けられている。冷却板128は一端146にて冷却剤を
受け入れ、該冷却剤は番号147で示される種々の通路
を通って流れ、冷却板128にもどるまでに、更なる冷
却操作のため出口148よりレザーバーにもどる。隔離
石英リブ142も図16に示されており、流体通路14
7は図17の冷却板128に示されている。
【0040】水蒸気発生器94を図18、19および2
0に示す。この水蒸気発生器94はベルジャー95の上
もしくは頂上95aの上に、またそれに隣接して設けて
ある。上記水蒸気発生器94は脱イオン水を受け入れる
ための入口管160を含む(図18)。脱イオン水は水
蒸気発生器94に入ったのち、脱イオン水のための曲路
を形成するバックル162を経て通路161(図20)
を通って移動する。酸化剤として酸素を使用する場合、
管106aにて導入する(図19および20)。加熱手
段163が通路161を取り囲んでおり、脱イオン水を
過熱して第1の圧における水蒸気を生成する。この水蒸
気は通路164を経て開口部166を有する管165に
まで続き、該開口部を通して加熱手段169に囲まれた
外側管167と交わり、過熱されて第2の温度および圧
になる。外側管167は管路129(図12)に接続し
た水蒸気出口168に結合しており、過熱水蒸気は管路
129を通ってベルジャー95内に入り、出口開口部1
31にてベルジャー内に入る(図21)。水蒸気はベル
ジャー95より管路169(図19)に沿って出る。ベ
ルジャー95内の水蒸気の圧とベルジャー95外の窒素
の圧を等しくする等圧化手段170を設けることができ
る。かくして、薄壁石英ベルジャー95が本発明におい
て使用できるのである。
【0041】次に、操作について説明する。最初に、半
導体ウエハ18のカセット16を区隔室12(図1−5
)に導入することにより、装置10の操作準備をする。 半導体ウエハ18は、処理するために水蒸気および高圧
水蒸気もしくは酸素、または水蒸気と酸素の混合物にさ
らされる。
【0042】カセット16からのウエハ18をxyzユ
ニット32により図21に示す型の石英塔45内に移し
、塔45を把手44により持ち上げてベルジャー95の
底115(図21)を支持するふた56上に載せる。
【0043】ふた56を図8に示す位置まで下降させた
際、処理すべき積層した半導体ウエハ18を含む塔45
をふた56上に移す。流体駆動装置84の動作により、
ふた56は塔45を載せて上昇し、ベルジャーの底11
5が本質的には図21に示されるように塔45を支持す
る。ふた56は図8に示すように実線位置まで動かされ
る。すると、パワーデバイス72(図6)が励起されて
ふた56に対し相対的にリングジョイント74を回転さ
せ、差し込み手段70がふた56を底リング76に結合
させてロックする。これが起こるとシールが形成され(
図12および21)、ふた56(図21)が、図21に
示すように塔45をベルジャー95内に配置した状態で
ベルジャー95の底を閉鎖する。
【0044】石英シールはOリングシール118および
120(図12)にて形成され、次いで水蒸気発生器9
4よりの水蒸気が生成されベルジャー95の内部に導入
される。次いで加圧窒素が圧力容器11内に導入される
。窒素の圧力は処理室内の水蒸気の圧力に比べてわずか
に正圧であり、水蒸気と窒素の圧は電子的手段により制
御され、ベルジャー95内の水蒸気圧はベルジャー95
外の窒素圧に比べてわずかに(1%)少なく保たれる。 このようにして、ベルジャー95の破壊の虞れ無く、ベ
ルジャー壁を薄くすることができるのである。この特徴
はベルジャーのコストを下げ、重量が小さくなることか
らその取り扱を簡便なものとする。また、この特徴は熱
伝導を改良する。
【0045】50ウエハバッチのための典型的な処理操
作は表1および表2に示す処理1および処理2であって
、以下の通りである。
【0046】                          
         表1              
                  処理1:   
                 10気圧,920
℃,4600Å                  
時間                    圧力ス
テップ        (分)      温度℃  
    (気圧)      ガススタンバイ    
    −        500         
 1      N2 、10LPM押し/ロック  
  3.0      500          1
      N2 +1%O2 温度上昇      
17.0  500−920      1     
 N2 +1%O2 水蒸気パージ    5.0  
    920          1      H
2 O、8cc/分圧力上昇        5.0 
     920    1→10      H2 
O、8cc/分酸化          14.0  
    920        10      H2
 O、8cc/分減圧            5.0
      920    10→1      なし
アニーリング  20.0      920    
      1      N2 温度下降     
 17.0  920−500      1    
  N2 抜き出し        3.0     
 500          1      N2 塔
移動                     全処理時間
=90分
【0047】                          
         表2              
                  処理2:   
                 10気圧,850
℃,1500Å                  
時間                    圧力ス
テップ        (分)      温度℃  
    (気圧)      ガススタンバイ    
    −        500         
 1      N2 、10LPM押し/ロック  
  3.0      500          1
      N2 +1%O2 温度上昇      
14.0  500−850      1     
 N2 +1%O2 水蒸気パージ    5.0  
    850          1      H
2 O、8cc/分圧力上昇        5.0 
     850    1→10      H2 
O、8cc/分酸化            7.0 
     850        10      H
2 O、8cc/分減圧            5.
0      850    10→1      な
しアニーリング  20.0      850   
       1      N2 温度下降    
  14.0  850−500      1   
   N2 抜き出し        3.0    
  500          1      N2 
塔移動                     全処理時間
=80分
【0048】工程が終了すると、水蒸気、窒素のいずれ
も、それぞれ図11に示す水蒸気出口およびガス出口を
通って大気中に排気される。このサイクルの間、ベルジ
ャー95の石英壁を横切っていかなる有意な圧力差も発
生せず、石英壁が破損するすることはない。
【0049】水蒸気発生器全体は石英等の高純度物質か
ら作られており、ベルジャーの処理室内部が汚染される
ことを防止することができ、したがって、処理室96内
のウエハが汚染されることを防止することができる。水
蒸気発生器の構造は、水滴が処理室96内に入ることを
防止する構造になっている。
【0050】水蒸気発生器のヒーターは、グラファイト
等の高純度な物質からなり、水蒸気発生器の石英材質の
金属汚染が最小限におさえられる。
【0051】処理室ヒーターは、処理室96を加熱する
ことに関し、処理室の領域全体が特定の操作温度に加熱
されることにおいて独自のものである。高パワーグラフ
ァイトを使用しているため迅速に加熱でき、また、冷却
板が近接に設置され、ベルジャー体の熱的質量が小さい
ため、迅速に冷却可能である。高純度グラファイトから
なるヒーターの使用により、圧力容器全体もしくはベル
ジャー95全体が金属汚染を免れることができる。ベル
ジャーの設計は真空から100気圧に至る広範囲の加圧
に適するものである。
【0052】本発明の処理室は低い熱的質量を有するた
め、該処理室を使用して達成可能なプロセスを実行する
にあたり、速いレスポンス・タイムが得られる。処理室
に係る金属汚染は一切なく、処理室を取り囲むヒーター
はグラファイトヒータであるため、本発明の無金属汚染
性がさらに加えれらる。セラミック部材も繊維状絶縁物
も使用しておらず、系全体にわたって粒子発生源の使用
は最小限におさえられる。
【0053】処理室は、50枚のプロセスウエハおよび
、多数のガードおよびテストウエハを含む、60ウエハ
用に設計してある。処理室および半導体ウエハのために
分離した水蒸気発生器を設け、半導体ウエハは処理室そ
れ自身の内部にて加熱され冷却される。ウエハは冷端を
有しないヒーターによって囲まれている。本発明のヒー
ターによれば、より大きな温度制御が達成される。ヒー
ターからの熱の対流は最小限しかなく、そのようなヒー
ターを用いることにより、より高い酸化物均質性が達成
される。白色粉体の堆積も最小限しか起こらない。
【0054】本発明のシステムによれば、最適の汚染制
御がなされる。この目的のために、ヒーターは高純度の
グラファイトであってセラミックスの絶縁は一切用いて
おらず、入口ブロックは一切用いておらず、石英ウール
は一切用いておらず、またカンタル(重金属源)も一切
使用していない。ヒーターは、ウエハから完全に孤立し
ている。
【0055】水蒸気発生器が処理室に対して外側にある
ため、処理室の内部が汚染されることがない。冷表面が
除去されているためシリカの堆積が防止される。
【0056】温度上昇および温度下降の間、ウエハは処
理室内部にある。一般的に、本発明の方法および装置に
よって実行される処理は低温処理、たとえば600℃か
ら700℃の間にあるものであって、適度の処理能力が
得られ、また移入された種の拡散が最小のものである。 本発明のシステムにより達成可能な層流により、ウエハ
の粒子汚染が減少する。本発明のシステムを用いて実行
できる他の一つの処理は、再流(リフロー)処理である
【0057】本発明の装置における層流は水平流であり
、ウエハは区隔室内部の処理室の外部において、また、
処理室内部においてすべて水平に置かれている。区隔室
内のすべての層流は、それぞれのカセットの口に向かっ
ている。カセットの角においていかなる斜の流も存在し
ない。潜在的粒子発生源はすべて個々に排出され、区隔
室内においてすべてのメカニズムはウエハの下流でなさ
れる。
【図面の簡単な説明】
【図1】  図1は半導体ウエハを圧力容器中の処理室
に配置するためのxyz移動ユニットを示す上から見た
平面概念図である。
【図2】  図2は図1の要素の組み合わせを示す横か
ら見た側面概念図であり、ボトム・ローディング処理室
において処理される半導体ウエハを含む垂直塔を示す。
【図3】  図3は図2と同様の側面概念図であり、清
浄空気が高圧室から出て、処理室に隣接して積層された
カセット内のウエハを通過し、クリーンルーム区隔室内
にあるその処理室が置かれたクリーンルーム雰囲気の空
気流通路へ流れていく様子を示す。
【図4】  図4は部分的に切り欠いた装置の斜視図で
あり、半導体ウエハの塔が冷却されているときに他の半
導体ウエハの塔が処理室内に上げられようとしている状
態を示す。
【図5】  図5は半導体ウエハのカセットおよび処理
室があるクリーンルーム区隔室の内部を別の角度から見
た図4と同様の斜視図であり、そこでは処理室の底が開
けてある。
【図6】  図6は処理室を含む圧力容器を一つの側面
から見た側面図であり、そこでは圧力容器の底は閉じて
いる。
【図7】  図7は処理室を含む圧力容器の背面図であ
る。
【図8】  図8は処理室を含む圧力容器を反対側から
見た側面図であり、圧力容器の下部ふたの下降位置が点
線で示されている。
【図9】  図9は圧力容器を上から見た平面図である
【図10】  図10は本発明の装置の流体装置系の構
成図の分図である。
【図11】  図11は本発明の装置の流体装置系の構
成図の分図であり、図10と組合わされて全体を構成す
る。
【図12】  図12は圧力容器内の処理室の垂直断面
図であり、ここでは処理室は石英などの不活性物質から
なるベルジャーにより形成され、ベルジャー内に配置さ
れる半導体ウエハの塔を加熱するためのヒーターで囲ま
れている。
【図13】  図13は図10の処理室および圧力容器
の水平断面図である。
【図14】  図14はベルジャー内において半導体ウ
エハを加熱するためにベルジャーの上端または下端に設
けられる平らなグラファイト・ヒーターの平面図である
【図15】  図15はベルジャーの底を冷却するため
の、ベルジャーの底用冷却板アッセンブリを正面から見
た正面図である。
【図16】  図16はベルジャー上端近傍の冷却板の
流体通路を底から見た底面図である。
【図17】  図17は図16の線17−17に沿って
見た断面図である。
【図18】  図18は本発明の処理室を構成するベル
ジャーの上の水蒸気発生器を上から見た平面図である。
【図19】  図19は図18に示した水蒸気発生器を
一側面から見た側面図である。
【図20】  図20は図18および19に示した水蒸
気発生器の垂直断面図である。
【図21】  図21は処理室および圧力容器の垂直断
面図であり、半導体ウエハの塔がベルジャー内に搭載さ
れてベルジャーの底ぶたが所定の位置に配置している状
態を示す。
【符号の説明】
10は半導体ウエハのバッチ処理装置、11は圧力容器
、12は区隔室、14は処理室部、16はカセット、1
8は半導体ウエハ、28は高圧室、30は超高性能フィ
ルター、32はxyz移動ユニツト、45は塔、56は
底ふた、70は差し込み手段、72はピストン・シリン
ダアッセンブリ、74はリングジョイント、84はピス
トン・シリンダアッセンブリ、94は水蒸気発生装置、
95はベルジャー、96は処理室、98は流体注入口、
103は酸素注入口、114は加熱手段、127、12
8は冷却板、129は水蒸気注入口である。

Claims (39)

    【特許請求の範囲】
  1. 【請求項1】  半導体ウエハを処理する装置にして:
    圧力容器と;前記圧力容器内にあって処理室を有する中
    空体であり、該圧力容器および該中空体がそれぞれ複数
    のウエハを一単位として該圧力容器の下方にある位置か
    ら前記処理室内部の位置に移動させる際にウエハを受け
    いれるための下開口部を有する前記中空体と;前記下開
    口部を閉鎖するために前記圧力容器に結合し、且つ前記
    圧力容器に対し垂直に移動可能な動作手段と;前記処理
    室内に高圧の酸化剤を導入するため前記中空体に結合し
    た手段と;前記圧力容器内に加圧した不活性ガスを導入
    するための手段と;前記処理室内の酸化剤を加熱するた
    めの手段と;前記処理室内でウエハを処理したのち前記
    中空体を冷却するための手段と;前記圧力容器と前記中
    空体に結合して不活性ガスと酸化剤の圧力を等しくし、
    本質的に該不活性ガスを該酸化剤から隔離するための手
    段と、を具えることを特徴とする半導体ウエハの処理装
    置。
  2. 【請求項2】  前記中空体がベルジャー形状であり、
    且つ不活性物質からなる請求項1記載の半導体ウエハの
    処理装置。
  3. 【請求項3】  前記処理室内部に酸化剤を導くための
    手段が流体入口を有する水蒸気発生器を含み、脱イオン
    水源が該水蒸気発生器の入口に結合している請求項1記
    載の半導体ウエハの処理装置。
  4. 【請求項4】  前記水蒸気発生器が流体入口およびバ
    ッフル手段を有する管を含み、該バッフル手段が上記管
    内を通る流体の流れのために曲路を形成する請求項3記
    載の半導体ウエハの処理装置。
  5. 【請求項5】  前記管およびバッフル手段が不活性物
    質よりなる請求項4記載の半導体ウエハの処理装置。
  6. 【請求項6】  前記管内を流れる脱イオン水を加熱す
    るため、該管を取り囲むヒーターが含まれている請求項
    4記載の半導体ウエハの処理装置。
  7. 【請求項7】  前記水蒸気発生器が第1の管に結合し
    た第2の管および該第2の管を取り囲む第3の管を含み
    、該第2の管に、該第2の管および該第3の管の間で流
    体が相互に伝わるための孔が設けられており、該第3の
    管を取り囲んでヒーターが設けられている請求項4記載
    の半導体ウエハの処理装置。
  8. 【請求項8】  前記孔が前記第2の管の上端に設けら
    れている請求項7記載の半導体ウエハの処理装置。
  9. 【請求項9】  前記第3の管に、該第3の管から流体
    が前記処理室内に伝わるための手段を設けた請求項7記
    載の半導体ウエハの処理装置。
  10. 【請求項10】  前記第2および前記第3の管が不活
    性物質よりなる請求項7記載の半導体ウエハの処理装置
  11. 【請求項11】  前記酸化剤加熱手段が、前記圧力容
    器内にあって、前記処理室の内部を加熱するために前記
    中空体の近傍外側に設けられたヒーターを含む請求項1
    記載の半導体ウエハの処理装置。
  12. 【請求項12】  前記加熱手段が前記中空体の上方お
    よび下方に一対の平面ヒーターを含む請求項11記載の
    半導体ウエハの処理装置。
  13. 【請求項13】  前記中空体が上部壁および下部壁を
    有し、前記平面ヒーターがそれぞれ該上部壁および該下
    部壁に隣接する請求項12記載の半導体ウエハの処理装
    置。
  14. 【請求項14】  前記冷却手段が前記中空体に隣接す
    る冷却板を含む請求項1記載の半導体ウエハの処理装置
  15. 【請求項15】  前記中空体が上部壁と下部壁を含み
    、前記冷却手段が該上部壁および該下部壁のそれぞれの
    近傍に上部冷却板および下部冷却板を含み、且つ該冷却
    板を冷却剤源に結合する手段を含む請求項12記載の半
    導体ウエハの処理装置。
  16. 【請求項16】  前記等圧化および前記隔離手段が、
    前記中空体および前記圧力容器への水蒸気およびガスの
    それぞれの流れを制御するための電子回路およびバルブ
    手段を含む請求項1記載の半導体ウエハの処理装置。
  17. 【請求項17】  前記閉鎖手段が動作した際に前記中
    空体と該閉鎖手段の間の接合を密封するための、密封手
    段を該閉鎖手段と該中空体の間に含む請求項16記載の
    半導体ウエハの処理装置。
  18. 【請求項18】  前記閉鎖手段が前記圧力容器内のも
    のと、該圧力容器および前記中空体のそれぞれの下開口
    部に対して閉鎖関係に至るまで上方に向って動くことが
    できるものとの一対のふた部材を含み、さらに該ふた部
    材をその操作位置に、およびその操作位置から垂直に移
    動させる手段を含む請求項1記載の半導体ウエハの処理
    装置。
  19. 【請求項19】  前記ふた部材を動かすための手段が
    前記圧力容器下方の流体動作パワーデバイスを含む請求
    項16記載の半導体ウエハの処理装置。
  20. 【請求項20】  前記圧力容器内に前記水蒸気発生器
    が含まれており、該発生装置が前記処理室を少くとも1
    00気圧に加圧操作可能であり、該圧力容器内部に不活
    性ガスを供給する手段が少くとも100気圧に加圧操作
    可能である請求項1記載の半導体ウエハの処理装置。
  21. 【請求項21】  前記処理室内部の水蒸気を600℃
    −1100℃の範囲の温度に加熱する手段を含む請求項
    1記載の半導体ウエハの処理装置。
  22. 【請求項22】  前記温度が600℃−1100℃で
    ある請求項19記載の半導体ウエハの処理装置。
  23. 【請求項23】  複数の半導体ウエハを処理する方法
    にして:下開口部を有する第2の空間を取り囲む下開口
    部を有する第1の空間を形成し;複数の半導体ウエハを
    前記下開口部より前記第1および前記第2の空間内部に
    挿入し;前記下開口部を大気に対して閉鎖し;加圧不活
    性ガスを前記第1の空間内に導入し;加圧酸化剤を前記
    第2の空間内に導入し;ウエハを処理温度まで加熱し;
    ウエハを処理後、前記第2の空間のウエハを冷却し;前
    記第1および前記第2の空間を本質的に相互に隔離した
    状態で該第1および該第2の空間の圧力を平衡させるこ
    とを特徴とする複数の半導体ウエハの処理方法。
  24. 【請求項24】  前記不活性ガスが窒素であり、前記
    酸化剤が水蒸気および酸素を含む群から選ばれた気体で
    ある請求項23記載の複数の半導体ウエハの処理方法。
  25. 【請求項25】  ウエハ処理時の前記第1および前記
    第2の空間の流体圧力が真空から10ないし25気圧の
    範囲にある請求項23記載の複数の半導体ウエハの処理
    方法。
  26. 【請求項26】  前記空間の流体圧力が約100気圧
    である請求項23記載の複数の半導体ウエハの処理方法
  27. 【請求項27】  処理温度が0℃から1100℃の範
    囲にある請求項23記載の複数の半導体ウエハの処理方
    法。
  28. 【請求項28】  処理温度が600℃から1100℃
    の範囲にある請求項23記載の複数の半導体ウエハの処
    理方法。
  29. 【請求項29】  処理温度が約900℃であり、前記
    空間内の流体圧力が約10ないし25気圧である請求項
    23記載の複数の半導体ウエハの処理方法。
  30. 【請求項30】  前記第2の空間を酸化剤で満たす工
    程が前記第1の空間内部であって該第2の空間の外部の
    領域における水蒸気発生を含む請求項23記載の複数の
    半導体ウエハの処理方法
  31. 【請求項31】  前記発生工程が、脱イオン水を加熱
    して水蒸気化する際に、該脱イオン水を曲路に沿って移
    動させることを含む請求項30記載の複数の半導体ウエ
    ハの処理方法。
  32. 【請求項32】  水蒸気を発生させる際に水が前記第
    2の空間に入ることを防止する工程を含む請求項23記
    載の複数の半導体ウエハの処理方法。
  33. 【請求項33】  前記平衡化工程が酸化剤の前記第1
    の空間への流入を防止し、また不活性ガスの前記第2の
    空間への流入を本質的に防止し、それにより一つの空間
    の圧力が釣り合うかまたは他の空間の圧力を超過する請
    求項23記載の複数の半導体ウエハの処理方法。
  34. 【請求項34】  ウエハを加熱し、ウエハを冷却する
    工程が、ウエハが前記第2の空間にあるときに行われる
    請求項33記載の複数の半導体ウエハの処理方法。
  35. 【請求項35】  水平流路に沿った空気流を生成し、
    粒子汚染を最小限におさえるためにウエハとカセットを
    上流端に配置し、流路の下流端を本質的に無構造に保つ
    工程を含む請求項23記載の複数の半導体ウエハの処理
    方法。
  36. 【請求項36】  ウエハを加熱する工程がグラファイ
    トヒーターの加熱を含む請求項23記載の複数の半導体
    ウエハの処理方法。
  37. 【請求項37】  前記加熱手段が多数のグラファイト
    ヒーターを含む請求項1記載の半導体ウエハの処理装置
  38. 【請求項38】  前記加熱手段が前記処理室において
    温度を上昇させる手段を含み、前記冷却手段が前記中空
    体を冷却する手段を含み、該加熱手段と該冷却手段がウ
    エハが該処理室内にある場合に、ウエハを加熱し、ウエ
    ハを冷却するために操作されうる請求項1記載の半導体
    ウエハの処理装置。
  39. 【請求項39】  空気流を平行流路に沿って導くため
    の空気流手段を含み、ウエハが当初カセット内の水平位
    置に配置され、該カセットが粒子汚染を最小限におさえ
    あるいは本質的に除去するために空気流の上流端近傍に
    配置される請求項1記載の半導体ウエハの処理装置。
JP3251183A 1990-09-28 1991-09-30 半導体ウエハの処理装置および方法 Expired - Fee Related JP3069412B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US590402 1990-09-28
US07/590,402 US5167716A (en) 1990-09-28 1990-09-28 Method and apparatus for batch processing a semiconductor wafer

Publications (2)

Publication Number Publication Date
JPH04234119A true JPH04234119A (ja) 1992-08-21
JP3069412B2 JP3069412B2 (ja) 2000-07-24

Family

ID=24362115

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3251183A Expired - Fee Related JP3069412B2 (ja) 1990-09-28 1991-09-30 半導体ウエハの処理装置および方法

Country Status (4)

Country Link
US (1) US5167716A (ja)
EP (1) EP0480181B1 (ja)
JP (1) JP3069412B2 (ja)
DE (1) DE69120193T2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340230A (ja) * 1998-05-21 1999-12-10 Kobe Steel Ltd 被処理基板の高温高圧処理装置
JP2000294547A (ja) * 1999-04-07 2000-10-20 Japan Science & Technology Corp 基板加熱装置
JP2003282457A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法
WO2008026387A1 (fr) * 2006-08-28 2008-03-06 Catalysts & Chemicals Industries Co., Ltd. Procédé de formation d'un revêtement de silice amorphe à faible constante diélectrique et revêtement de silice amorphe à faible constante diélectrique obtenu grâce à celui-ci

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5880041A (en) * 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5611898A (en) * 1994-12-08 1997-03-18 International Business Machines Corporation Reaction chamber having in situ oxygen generation
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US6030902A (en) 1996-02-16 2000-02-29 Micron Technology Inc Apparatus and method for improving uniformity in batch processing of semiconductor wafers
DE69710655T2 (de) * 1996-08-07 2002-10-31 Concept Systems Design Inc Gaseinleitsystem für CVD Reaktoren
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5846888A (en) * 1996-09-27 1998-12-08 Micron Technology, Inc. Method for in-situ incorporation of desirable impurities into high pressure oxides
US5679585A (en) * 1996-11-15 1997-10-21 Advanced Micro Devices, Inc. Method for forming metal silicide on a semiconductor surface with minimal effect on pre-existing implants
TW539918B (en) 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
TW589391B (en) * 1997-07-08 2004-06-01 Unaxis Trading Ag Process for vacuum treating workpieces, and corresponding process equipment
CH692741A5 (de) * 1997-07-08 2002-10-15 Unaxis Trading Ltd C O Balzers Verfahren zur Herstellung in Vakuum oberflächenbehandelter Werkstücke und Vakuumbehandlungsanlage zu dessen Durchführung
US6391377B1 (en) * 1997-07-08 2002-05-21 Unaxis Balzers Aktiengesellschaft Process for vacuum treating workpieces, and corresponding process equipment
KR100707107B1 (ko) * 1997-07-17 2007-12-27 동경 엘렉트론 주식회사 세정.건조처리방법및장치
EP1209721B1 (en) * 1997-10-10 2007-12-05 European Community Inductive type plasma processing chamber
TW489827U (en) 1998-04-09 2002-06-01 Kobe Steel Ltd Apparatus for high-temperature and high-pressure treatment of semiconductor substrates
JP2000277521A (ja) * 1999-03-26 2000-10-06 Kobe Steel Ltd 半導体ウェーハの高温高圧処理方法及び装置
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
EP1234322A2 (en) 1999-11-02 2002-08-28 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
AU2001290171A1 (en) 2000-07-26 2002-02-05 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US7001468B1 (en) 2002-02-15 2006-02-21 Tokyo Electron Limited Pressure energized pressure vessel opening and closing device and method of providing therefor
US7387868B2 (en) 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
KR100863782B1 (ko) * 2002-03-08 2008-10-16 도쿄엘렉트론가부시키가이샤 기판처리장치 및 기판처리방법
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
US7225820B2 (en) * 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US7270137B2 (en) 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7163380B2 (en) 2003-07-29 2007-01-16 Tokyo Electron Limited Control of fluid flow in the processing of an object with a fluid
US20050035514A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Vacuum chuck apparatus and method for holding a wafer during high pressure processing
US20050067002A1 (en) * 2003-09-25 2005-03-31 Supercritical Systems, Inc. Processing chamber including a circulation loop integrally formed in a chamber housing
US7186093B2 (en) * 2004-10-05 2007-03-06 Tokyo Electron Limited Method and apparatus for cooling motor bearings of a high pressure pump
US7250374B2 (en) 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7307019B2 (en) 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US7140393B2 (en) 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7434590B2 (en) 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7435447B2 (en) 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7291565B2 (en) 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US7380984B2 (en) * 2005-03-28 2008-06-03 Tokyo Electron Limited Process flow thermocouple
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US7494107B2 (en) 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5103677B2 (ja) * 2008-02-27 2012-12-19 ソイテック Cvdリアクタにおける気体状前駆体の熱化
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8809096B1 (en) * 2009-10-22 2014-08-19 Stion Corporation Bell jar extraction tool method and apparatus for thin film photovoltaic materials
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011083245B4 (de) * 2011-09-22 2019-04-25 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer epitaktischen Schicht aus Silizium auf einer Halbleiterscheibe aus einkristallinem Silizium durch Gasphasenabscheidung in einer Prozesskammer
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6416923B2 (ja) * 2014-09-30 2018-10-31 株式会社Kokusai Electric 半導体製造装置、半導体装置の製造方法および記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN110383431B (zh) * 2017-02-17 2023-08-22 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN112889142A (zh) * 2018-10-28 2021-06-01 应用材料公司 具有退火迷你环境的处理腔室
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
US4268538A (en) * 1977-03-09 1981-05-19 Atomel Corporation High-pressure, high-temperature gaseous chemical method for silicon oxidation
US4315479A (en) * 1980-06-27 1982-02-16 Atomel Corporation Silicon wafer steam oxidizing apparatus
US4351805A (en) * 1981-04-06 1982-09-28 International Business Machines Corporation Single gas flow elevated pressure reactor
FR2571892B1 (fr) * 1984-10-17 1987-09-04 Buevoz Jean Louis Four d'oxydation de plaquettes en materiau semi-conducteur
US4599247A (en) * 1985-01-04 1986-07-08 Texas Instruments Incorporated Semiconductor processing facility for providing enhanced oxidation rate
US4943235A (en) * 1987-11-27 1990-07-24 Tel Sagami Limited Heat-treating apparatus
US5167717A (en) * 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340230A (ja) * 1998-05-21 1999-12-10 Kobe Steel Ltd 被処理基板の高温高圧処理装置
JP2000294547A (ja) * 1999-04-07 2000-10-20 Japan Science & Technology Corp 基板加熱装置
JP2003282457A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法
WO2008026387A1 (fr) * 2006-08-28 2008-03-06 Catalysts & Chemicals Industries Co., Ltd. Procédé de formation d'un revêtement de silice amorphe à faible constante diélectrique et revêtement de silice amorphe à faible constante diélectrique obtenu grâce à celui-ci
JP2008053657A (ja) * 2006-08-28 2008-03-06 Catalysts & Chem Ind Co Ltd 低誘電率非晶質シリカ系被膜の形成方法および該方法より得られる低誘電率非晶質シリカ系被膜
US8227028B2 (en) 2006-08-28 2012-07-24 Jgc Catalysts And Chemicals Ltd. Method for forming amorphous silica-based coating film with low dielectric constant and thus obtained amorphous silica-based coating film

Also Published As

Publication number Publication date
EP0480181A3 (en) 1992-04-22
EP0480181B1 (en) 1996-06-12
EP0480181A2 (en) 1992-04-15
US5167716A (en) 1992-12-01
JP3069412B2 (ja) 2000-07-24
DE69120193D1 (de) 1996-07-18
DE69120193T2 (de) 1996-10-10

Similar Documents

Publication Publication Date Title
JP3069412B2 (ja) 半導体ウエハの処理装置および方法
JP3218488B2 (ja) 処理装置
JP4174837B2 (ja) 縦型熱処理炉
US5407350A (en) Heat-treatment apparatus
TWI462185B (zh) 基板處理裝置,基板支持具及半導體裝置之製造方法
JP2007095879A (ja) 基板処理装置
JP2007142237A (ja) 基板処理装置および半導体装置の製造方法
JP3176160B2 (ja) 処理装置
JP2007088177A (ja) 基板処理装置
JPS62206826A (ja) 半導体熱処理装置
JP2766856B2 (ja) 縦型加圧酸化装置
JP3330169B2 (ja) ガスシャワーノズルを備えた縦型熱処理装置
JP3543987B2 (ja) 処理装置
JP2005268244A (ja) 基板処理装置
JP2001068425A (ja) 半導体熱処理装置及び方法
JP2006190812A (ja) 基板処理装置
JP4516838B2 (ja) 基板処理装置および半導体装置の製造方法
CN213988834U (zh) 一种晶圆热处理装置
KR100350612B1 (ko) 이중수직형열처리로(爐)
JP2001338890A (ja) 基板処理装置
JPS62128524A (ja) 多重構造の反応管を持つ縦型半導体熱処理装置
JP2007242789A (ja) 基板処理装置
JP2007066934A (ja) 基板処理装置
JP2845580B2 (ja) 熱処理装置
WO2004057656A1 (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees