JP7048182B2 - 集積回路のデバイス構造及び両面製造技術 - Google Patents

集積回路のデバイス構造及び両面製造技術 Download PDF

Info

Publication number
JP7048182B2
JP7048182B2 JP2019502593A JP2019502593A JP7048182B2 JP 7048182 B2 JP7048182 B2 JP 7048182B2 JP 2019502593 A JP2019502593 A JP 2019502593A JP 2019502593 A JP2019502593 A JP 2019502593A JP 7048182 B2 JP7048182 B2 JP 7048182B2
Authority
JP
Japan
Prior art keywords
backside
layer
semiconductor
source
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019502593A
Other languages
English (en)
Other versions
JP2019526926A (ja
Inventor
ブロック、ブルース
アール. ラオ、バルリ
メハンドル、リシャブ
インガリー、ダグ
ジュン、キミン
オブライエン、ケビン
モロー、パトリック
フィッシャー、ポール
エス. リャオ、スジュア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2016/068564 external-priority patent/WO2018106267A1/en
Priority claimed from PCT/US2017/048475 external-priority patent/WO2019040071A1/en
Application filed by Intel Corp filed Critical Intel Corp
Priority claimed from PCT/US2017/048752 external-priority patent/WO2018039645A1/en
Publication of JP2019526926A publication Critical patent/JP2019526926A/ja
Priority to JP2022045979A priority Critical patent/JP2022115851A/ja
Application granted granted Critical
Publication of JP7048182B2 publication Critical patent/JP7048182B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • H01L27/0694Integrated circuits having a three-dimensional layout comprising components formed on opposite sides of a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1876Particular processes or apparatus for batch treatment of the devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2884Testing of integrated circuits [IC] using dedicated test connectors, test elements or test circuits on the IC under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2886Features relating to contacting the IC under test, e.g. probe heads; chucks
    • G01R31/2889Interfaces, e.g. between probe and tester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/042PV modules or arrays of single PV cells
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/06Measuring leads; Measuring probes
    • G01R1/067Measuring probes
    • G01R1/073Multiple probes
    • G01R1/07307Multiple probes with individual probe elements, e.g. needles, cantilever beams or bump contacts, fixed in relation to each other, e.g. bed of nails fixture or probe card
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8252Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6835Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during build up manufacturing of active devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68363Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving transfer directly from an origin substrate to a target substrate without use of an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05157Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/0516Iron [Fe] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05169Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05176Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05664Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05669Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05671Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05673Rhodium [Rh] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05676Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05678Iridium [Ir] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02SGENERATION OF ELECTRIC POWER BY CONVERSION OF INFRARED RADIATION, VISIBLE LIGHT OR ULTRAVIOLET LIGHT, e.g. USING PHOTOVOLTAIC [PV] MODULES
    • H02S20/00Supporting structures for PV modules
    • H02S20/20Supporting structures directly fixed to an immovable object
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Description

[優先権の主張]
本願は、「集積回路デバイス構造及び表側構造の裏側暴露を用いた製造技術」という発明の名称で2016年8月26日に出願された米国仮出願第62/380,316号に対する優先権を主張する。
[関連出願] 本願は、発明の名称「裏側セルフアラインメントビア及びそこに形成される構造を製造する方法」で2015年10月1日に出願された国際特許出願PCT/US2015/052033号、「裏側コンタクト構造及びデバイスの両面への金属の製造」という発明の名称で2015年9月25日に出願された国際特許出願PCT/US2015/052440、及び、「マルチHSiオプションを用いた裏側フィンリセス制御」という発明の名称で2015年9月25日に出願された国際特許出願PCT/US2015/052288号、「層転写型強誘電体メモリデバイス」という発明の名称で2016年4月1日に出願された国際特許出願PCT/US2016/025576号、「裏側の半導体又は金属を利用する半導体ダイオード」という発明の名称で2016年4月1日に出願された国際特許出願PCT/US2016/025579号、「誘電体材料で裏打ち配線されたディープビアを含むトランジスタ構造」という発明の名称で2016年4月1日に出願された国際特許出願PCT/US2016/025593号に関連する。
集積回路(IC)内のデバイス密度は、ムーアの法則のとおりに、数十年間増大してきた。しかしながら、デバイス構造の横寸法が各技術世代で縮小するにつれて、構造的な寸法をさらに低減することがますます難しくなっている。
現在では、3次元(3D)スケーリングは、z方向の高さ(デバイスの厚さ)の減少が、全体的なデバイス密度及びIC性能を向上させる別の手段を提供するので、大きな関心が寄せられている。3Dスケーリングは、例えば、チップスタッキング又はパッケージ化されたICスタッキングの形式であってよい。既知の3D統合技術は、費用がかかり、z方向の高さ及びデバイス密度において漸進的な改善のみを提供し得る。例えば、チップの厚さの大部分が非活性基板材料であってよい。そのようなチップの積層体は、チップ積層体を縦方向に相互接続する手段として、スルー基板ビア(TSV)技術を使用してよい。TSVは、通常、基板材料を20~50μm又はそれ以上に拡張し、従って、一般的には、ミクロンスケーリングのビアの直径に制限される。そのため、TSVの密度は、最も多くのデバイス(例えば、トランジスタ、メモリ)セルの密度を大きく下回るものに制限される。また、TSV技術を使用するチップ積層体の最終的なz方向の高さは、積層されたデバイスにより使用される実際のデバイス層よりも数百ミクロン厚い可能性がある。
3Dスケーリングは、縦方向に配向されたデバイスの形態であってもよく、例えば、トランジスタチャネルの長さが、より一般的な横方向に配向されたトランジスタに対する面に平行とするよりは、むしろ、チップの面に対して実質的に垂直である。多くの縦型デバイスアーキテクチャにより直面する1つの問題は、横方向に配向されたデバイスにおいてさらに容易に実現され得る、デバイスの両端における端子の製造の仕方である。
本明細書で説明される材料は、例示の目的で示され、添付の図面に限定する目的ではない。説明を簡潔かつ明確にするために、図に示した要素は必ずしも縮尺通りに描かれていない。例えば、いくつかの要素の寸法は、明瞭にするために他の要素に対して誇張されることがある。また、様々な物理的なフィーチャは、説明を明瞭にするために、これらの簡略化された「理想的な」形態及び形状で表されるかもしれないが、それにもかかわらず、一部の実施例が、示される理想に近いだけかもしれないことが理解されるべきである。例えば、滑らかな表面及び直交交差は、ナノ製造技術により形成される構造についての有限の粗さ、面取り及び不完全な角度での交差の特徴が無視して描かれているかもしれない。従って、基準座標系の平面における矩形断面で描かれるフィーチャ、実際に製造されるフィーチャは、フィーチャの1又は複数の端部で曲線的である又は傾斜している断面図をむしろ有するかもしれず、結果的に、非矩形(例えば、アワーグラス形状、台形など)である断面形状になるかもしれない。さらに、適切である考慮される参照符号は、対応する又は類似する要素を示すべく、図間で繰り返される。
図において:
いくつかの実施形態に従う両面デバイスの処理方法を示すフロー図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の平面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の平面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の平面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の平面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の平面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の平面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の平面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の平面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う両面デバイスの処理方法を用いて処理される基板の断面図である。 いくつかの実施形態に従う、III-N半導体及び誘電体材料の両方を含む介在層をさらに示す等角図である。 いくつかの実施形態に従う、III-N半導体及び誘電体材料の両方を含む介在層をさらに示す等角図である。 いくつかの実施形態に従う、III-N半導体及び誘電体材料の両方を含む介在層をさらに示す等角図である。 いくつかの実施形態に従う、III-V半導体及び誘電体材料の両方を含む介在層をさらに示す断面図である。 いくつかの実施形態に従う裏側暴露方法を示すフロー図である。 いくつかの実施形態に従う、基板上のICダイ及びICダイ上のトランジスタ構造の拡大図を用いた基板の平面図である。 いくつかの実施形態に従う、トランジスタ半導体本体の電気的な分離を含む裏側処理方法を示すフロー図である。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図7に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーションを含む裏側処理方法を示すフロー図である。 いくつかの実施形態に従う、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーションを形成するのに適したトランジスタ構造の平面図である。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図12に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、裏側トランジスタのゲートメタライゼーションを含む裏側処理方法を示すフロー図である。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、いくつかの表側の製造工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図17に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、誘電体スペーサ交換を含む裏側処理方法を示すフロー図である。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの代替的な実施形態に従う、図32に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う裏側暴露方法を示す。 いくつかの実施形態に従う、プレーナ型トランジスタに対して選択的な非プレーナ型トランジスタの裏側ソース/ドレイン半導体及びコンタクト・メタライゼーションを形成するための方法を示すフロー図である。 いくつかの実施形態に従う、他の非プレーナ型トランジスタに対して選択的な非プレーナ型トランジスタの裏側ソース/ドレイン半導体及びコンタクト・メタライゼーションを形成するための方法を示すフロー図である。 いくつかの実施形態に従う、一方のソース/ドレイン・コンタクト・メタライゼーションを欠く非プレーナ型トランジスタ構造、及び、ソース/ドレインメタライゼーションの両方を有するプレーナ型トランジスタ構造の平面図である。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Bに示される方法におけるいくつかの工程が実行されるときのプレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、一方のソース/ドレイン・コンタクト・メタライゼーションを欠く非プレーナ型トランジスタ構造、及び、ソース/ドレインメタライゼーションの両方を有する非プレーナ型トランジスタ構造の平面図である。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図38Cに示される方法におけるいくつかの工程が実行されるときの非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、裏側不純物注入を含む裏側処理方法を示すフロー図である。 いくつかの実施形態に従う、図55に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図55に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、図55に示される方法におけるいくつかの工程が実行されるときのトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、裏側注入を有するトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、裏側注入を有するトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、裏側注入を有するトランジスタ構造の断面図を示す。 いくつかの実施形態に従う、半導体のエピタキシャル成長を含む裏側処理方法を示すフロー図である。 いくつかの実施形態に従う、図58に示される方法におけるいくつかの工程が実行されるときのIII-N半導体デバイス階層の断面図を示す。 いくつかの実施形態に従う、図58に示される方法におけるいくつかの工程が実行されるときのIII-N半導体デバイス階層の断面図を示す。 いくつかの実施形態に従う、図58に示される方法におけるいくつかの工程が実行されるときのIII-N半導体デバイス階層の断面図を示す。 いくつかの実施形態に従う、図58に示される方法におけるいくつかの工程が実行されるときの半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、図58に示される方法におけるいくつかの工程が実行されるときの半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、図58に示される方法におけるいくつかの工程が実行されるときの半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、積層された半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、積層された半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、積層された半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、積層された半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、積層された半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、積層された半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、積層された半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、積層された半導体デバイス層の断面図を示す。 いくつかの実施形態に従う、縦方向に配向されたデバイスの平面図を示す。 いくつかの実施形態に従う、図65に示される縦方向に配向されたデバイスの断面図を示す。 いくつかの実施形態に従う、積層された1T1Rメモリセルの断面図を示す。 いくつかの実施形態に従う、積層された1T1Rメモリセルの断面図を示す。 いくつかの実施形態に従う、積層された1T1Rメモリセルの断面図を示す。 いくつかの実施形態に従う、積層された1T1Rメモリセルの断面図を示す。 いくつかの実施形態に従う、介在する熱導管を有する積層されたデバイス階層の断面図を示す。 いくつかの実施形態に従う、裏側を介して試験用のダイを試験する電気試験装置の等角図である。 いくつかの実施形態に従う、裏側及び表側を介して、試験用のダイを同時に試験する電気試験装置の等角図である。 いくつかの実施形態に従う電気試験処理方法を示すフロー図である。 いくつかの実施形態に従う、同時に行われる裏側及び表側の接触を用いて電気試験中にある非プレーナ型トランジスタ構造の平面図である。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、同時に行われる裏側及び表側の接触を用いた電気試験中にある論理トランジスタ構造の平面図である。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造の断面図を示す。 実施形態に従う、両面相互接続を含複数のFETを有するSoCを使用するモバイルコンピューティングプラットフォーム及びデータサーバマシンを示す。 いくつかの実施形態に従う、電子計算機の機能ブロック図である。
1又は複数の実施形態が添付の図に関して説明される。特定の構成及び配置が詳細に描かれ、かつ、説明されるが、これは例示の目的のみで行われていることを理解されたい。当業者であれば、他の構成及び配置が記載の趣旨及び範囲から逸脱することなく実現可能であることが理解されるだろう。本明細書で説明される技術及び/又は配置は、本明細書で詳細に説明されるもの以外の様々な他のシステム及びアプリケーションに使用され得ることが当業者には明らかである。
添付の図面に対する以下の詳細な説明において参照が行われ、これに関する一部を形成し、例示的な実施形態を示す。さらに、他の実施形態が利用されてよく、構造的及び/又は論理的変更が特許請求の範囲に記載された主題の範囲から逸脱することなく行われてよいことが理解されるべきである。例えば、上、下、最上部及び底部などの方向及び参照は、単に、図面における機能の説明を容易にするために用いられ得ることにも留意されたい。したがって、以下の詳細な説明は、限定的な意味に解釈されるべきではなく、特許請求の範囲に記載された主題の範囲は、もっぱら添付の特許請求の範囲及びそれらの均等物により規定される。
以下の説明では、多数の詳細が説明される。しかしながら、実施形態がこれらの特定の詳細なしで実施され得ることが当業者には明らかである。いくつかの例では、周知の方法及びデバイスは、実施形態を不明瞭になることを回避するために、詳しくするよりはむしろ、ブロック図の形態で示される。本明細書全体の「実施形態」又は「一実施形態」若しくは「いくつかの実施形態」への言及は、実施形態とのつながりにおいて説明される特定の特徴、構造、機能又は特性が少なくとも一実施形態に含まれることを意味する。したがって、本明細書全体の様々な場所における「実施形態において」又は「一実施形態において」若しくは「いくつかの実施形態」という表現の出現は、必ずしも同じ実施形態を指すものではない。さらに、特定の特徴、構造、機能又は特性は、1又は複数の実施形態において、任意の適切な方式で組み合わせられてよい。例えば、第1の実施形態は、2つの実施形態と関連付けられる特定の特徴、構造、機能又は特性が、相互に排他的でない限り、第2の実施形態と組み合わせられてよい。
説明及び添付の特許請求の範囲で用いられるように、単数形「a」、「an」及び「the」は、コンテキストがそうでないことを明らかに示さない限り、複数形も同様に含むことが意図される。本明細書で用いられるような「及び/又は」という用語は、関連して列挙された項目のうちの1又は複数の任意及びすべての可能な組み合わせを指し、かつ、包含することも理解される。
「結合(coupled)」及び「接続(connected)」という用語は、本明細書において、コンポーネント間の機能的又は構造的な関係を説明するために用いられ得る。これらの用語は、互いに関して同義語として意図されていないことを理解されたい。むしろ、特定の実施形態では、「接続(connected)」は、2又はそれより多い要素が互いに直接物理的、光学的又は電気的に接触している状態にあることを示すために用いられ得る。「結合(Coupled)」は、2又はそれより多い要素が、互いに(これらの間に介在する他の要素と)直接的又は間接的に物理的又は電気的に接触している状態にあることのうちのいずれか一方の状態にあること、及び/又は、2又はそれより多い要素が、互いに協働又は相互作用する(例えば、因果関係にある)ことを示すために用いられ得る。
本明細書で用いられるような「上方(over)」、「下方(under)」、「間(between)」及び「上(on)」という用語は、そのような物理的な関係が注目すべきである、他のコンポーネント又は材料に対するあるコンポーネント又は材料の相対位置を指す。例えば、材料のコンテキストにおいて、1つの材料又は別の材料の上方又は下方に配置される材料は、直接的に接触してよい、又は、1又は複数の介在する材料を有してよい。さらに、2つの材料間に配置される1つの材料又は複数の材料は、2つの層と直接的に接触してよい、又は、1又は複数の介在層を有してよい。一方、第1の材料又は第2の材料「上(on)」の材料又は複数の材料は、第2の材料/複数の第2の材料と直接接触した状態にある。コンポーネントアセンブリのコンテキストにおいて、同様の区別がなされる。
本説明及び特許請求の範囲の全体を通じて用いられるように、「のうちの少なくとも1つ」又は「のうちの1又は複数」という用語によってつながる項目の列挙は、列挙された項目の任意の組み合わせを意味する可能性がある。例えば、「A、B又はCのうちの少なくとも1つ」という表現は、A、B、C、A及びB、A及びC、B及びC、又は、A、B及びCを意味する可能性がある。
デバイス構造の両面処理を使用するICデバイスの構造及び製造技術が本明細書において説明される。ICデバイス構造の製造において通常使用される表側処理に加えて、両面処理は、ICデバイス構造の裏側処理をさらに含む。両面処理は、裏側処理を容易にするために設計される標準的でない表側処理をさらに伴ってよい。いくつかの例示的な実施形態において、両面処理は、表側デバイス構造の裏側の暴露を含む。いくつかの例示的な実施形態において、デバイス構造の裏側の暴露(本明細書では「裏側暴露」又は「BSR」とも称される)は、例えば、エッチングマスクにより閉じ込められるように、ウェハのエリアの上方で基板材料のバルクを包括的に除去する、又は、ウェハの領域の部分内に局所的に除去するのいずれか一方であるウェハレベルの裏側処理を伴う。基板材料の厚さを通じて単に電気的なルーティングを提供するに過ぎない従来のTSVタイプの技術とは対照的に、本明細書で説明されるような裏側暴露は、ICのデバイス層内のデバイス構造のさらなる製造を容易にするためのものである。そのような裏側デバイス層の製造は、個々のデバイス(例えば、トランジスタ)の密度で、さらに単一のデバイス(例えば、単一のトランジスタの端子)のサブ領域内で実行され得る。さらに、そのような裏側暴露は、デバイス層が表側のデバイス処理中に配置されるドナー基板の、一部のみ、又は実質的にすべてを除去するように実行され得る。そのため、裏側からアクセスされるデバイス構造の半導体材料の厚さがわずか数十又は数百ナノメートルであり得るので、ミクロンの深さのTSVが不要になり得る。特に、ミクロンの深さの導電TSVは、より多くの初期の裏側処理が本明細書の実施形態に従って実行されることが不要になり得る一方で、TSVタイプの技術は、例えば、デバイス層の裏側を暴露する手段として、依然として活用され得る。
さらに以下でいくつかの例示的な実施形態について説明されるように、デバイス構造の暴露された裏側の処理は、表側処理の犠牲デバイス構造及び/又はアーティファクトの除去、例えば、デバイスのルーティング密度を増やす、及び/又は、裏側の電気的なプロービングを許可する、及び/又は、デバイス端子のコンタクトエリアを増やす、などのコンタクト・メタライゼーションの堆積、例えば、デバイスの分離を拡大する、ゲート電極の結合を高める、及び/又は、寄生容量を低減するなどの誘電体の堆積、例えば、寄生抵抗を低減する、及び/又は、結晶品質を向上させる、バンドギャップエンジニア、デバイス半導体領域に張力を与える、及び/又は、縦方向に配向されたデバイスを形成などの半導体の堆積、及び/又は、互いに結合され又は緊密に接触する積層されたデバイスを製造することのうちの1又は複数を伴ってよい。
本明細書で説明される裏側暴露技術は、「ボトムアップ」形式のデバイス製造から「中央から外側への」製造へのパラダイムシフトを可能にし得、「中央」は、表側の製造で使用され、裏側から暴露され、裏側製造において再び使用される任意の層である。以下の説明から明らかになるべきであるように、デバイス構造の表側及び暴露された裏側の両方の処理が、表側処理単独で3D ICを製造することに関連付けられる課題の多くに取り組み得る。
本明細書で説明される技術は、トランジスタレベルで(例えば、5~10nmのオーダで)デバイス層の裏側を暴露するのに十分な精度で実行され得る。そのため、統合されるデバイスにより使用されない事実上すべての材料は、デバイスから破棄され得る。この精度は、基板(例えば、ウェハ)材料の均一性の制御及び材料品質のハンドリングにおける進歩を通じて、部分的に可能性がある。今まで、TSVベースの裏側電気的接続技術によればデバイス(例えば、トランジスタ)レベルまで小型化された特定の位置における相互接続が可能ではなかった。これは、TSV技術では通常、基板の裏側を、少なくとも20~50μmという残留基板材料が保持されてしまう程度までしか摩擦研磨しないためであり、この場合、形成可能な導電ビアは直径が比較的大きい(例えば、2~5μm)ものになってしまう。
大量の基板材料の除去を通じて、ナノメートルレベルでの電気的接続が可能になる。以下のいくつかの例示的な実施形態についてさらに説明されるように、スルー基板ビアのような技術は、十分な厚さの均一性制御を実現するのに比較的十分に適合し及び不十分な硬さである一時的な接合を使用する一方で、キャリア(「ハンドル」)の恒久的な接合は、使用されなくてよい。例えば、例えば、酸化融着接合などの恒久的な接合は、さらに本明細書で説明される構造を製造するために有利な機械的な剛性を実現し得る。酸化物は、非常に硬く、機械的に強いが、全く圧縮可能ではない。パワートランジスタ
以下のいくつかの例示的な実施形態でさらに説明されるように、酸化物材料に対して非常に選択的であるCMPプロセスは、さらにマイクロメートルの材料を除去し、基板の表面エリア上のどこでもターゲットの厚さの10nm以内で停止するのに十分な厚さの制御を用いるプレーナ型方式で材料の厚さの低減に使用されるのに有利であり得る。そのような平面性を用いて、基板の表側のみに通常限られた処理、例えば、高分解能のリソグラフィは、電気的接触が、これらの典型的な表側メタライゼーション(例えば、デバイスコンタクト)についての同じオーダの寸法を有するように、基板の裏側で同様に使用され得る。例えば、個々のデバイス及び/又は個々のデバイスの個々の端子が露出され得るのに十分に小さい横寸法の開口の形成を容易にすべく、そのような平坦化処理は、単独で、又は、限定されることはないが、ナノメートルスケールのTSVタイプの基板処理を含む他の基板除去技術と共に活用され得る。
図1は、いくつかの実施形態に従う両面デバイスの処理方法101を示すフロー図である。方法101は、ウェハレベルで実施され得る。いくつかの例示的な実施形態では、大型の基板(例えば、直径300又は450mm)ウェハが、方法101を通じて処理され得る。方法101は、デバイス層を含むドナー基板を用いて工程105で開始する。特定の実施形態において、デバイス層は、能動デバイス又は受動デバイスを含むことができる。いくつかの実施形態において、デバイス層は、ICデバイスにより使用される半導体材料である。特定の実施形態において、そのような材料は、多結晶又は非結晶である薄膜半導体材料に関してデバイス性能上の利点をしばしば提供するので、デバイス層は単結晶半導体材料である。一例として、例えば、電界効果トランジスタ(FET)などのトランジスタデバイスにおいて、トランジスタチャネルは、半導体デバイス層から形成される。別の例として、例えば、フォトダイオードなどの光デバイスに関して、ドリフト及び/又はゲイン半導体は、デバイス層から形成される。デバイス層は、ICデバイスを有する受動的構造にいて使用されてもよい。例えば、光導波路は、デバイス層からパターニングされた半導体を使用してよい。
いくつかの実施形態において、ドナー基板は、材料層の積層体を有する。そのような材料積層体は、後続のICデバイス階層の形成を容易にし得る。本明細書で用いられるように、「デバイス階層」という用語は、少なくともデバイス層を指し、ドナー基板の他の層を欠いており、それらは、ICデバイスの機能にとって必要とされておらず、従って、ほとんど機能しない大量の「オーバヘッド」である。例えば、「デバイス階層」は、たった1つのデバイス層、複数のデバイス層又は1又は複数の介在層を有するデバイス層を含み得る。特定の実施形態において、「デバイス階層」は、デバイス層の上又は下に堆積される、以下でさらに説明されるような、他の1又は複数の非天然材料層をさらに含むことができる。図1に示される例示的な実施形態において、方法101は、1又は複数の介在材料層によりデバイス層から分離されるキャリア層を含むドナー基板を提供する。キャリア層は、デバイス層の表側処理中に機械的支持を提供する。キャリアは、半導体デバイス層内の結晶性の基礎を提供してもよい。介在層が存在する必要はないが、そのような材料層のうちの1又は複数の包含物は、デバイス層からキャリア層の除去を容易にし得、そうでなければ、デバイス層の裏側を暴露することを容易にし得る。
方法101は、工程110に進み、ここでは、デバイス層内の1又は複数の領域を含むデバイス構造を形成するために、表側の製造工程がドナー基板上で実行される。任意の適切な表側処理技術は、任意の適切なICデバイスを形成するために、工程110で使用されてよく、例示的な実施形態は、本明細書の他の場所でさらに説明される。そのようなICデバイスは、デバイス層材料及びデバイス層の表側の上方に形成されるインターコネクトメタライゼーションの1又は複数のレベルを使用するデバイス(例えば、トランジスタ)を含んでよい。工程115では、ドナー基板の表側が、デバイス‐ホストアセンブリを形成するために、ホスト基板に接合され得る。ホスト基板は、デバイス層の裏側処理中に表側の機械的支持を提供するために利用され得る。ホスト基板は、ドナー基板上に製造されたICデバイスが相互接続される集積回路を含でもよい。そのような実施形態に関して、ホスト及びドナー基板の接合が、ハイブリッド(誘電体/金属)接合を通じて、3Dインターコネクト構造の形成をさらに伴ってよい。任意の適切なホスト基板及びウェハレベルの接合技術は、工程115で使用されてよく、いくつかの例示的な実施形態が本明細書における他の場所でさらに説明される。
方法101は、デバイス階層の裏側がキャリア層の少なくとも一部を除去することにより暴露される工程120に進む。いくつかのさらなる実施形態において、デバイス層の下の任意の介在層の部分が、暴露工程120中に除去されてもよい。いくつかの他の実施形態において、デバイス層の表側の上方に堆積される表側材料は、暴露工程120中に除去されてもよい。いくつかの例示的な実施形態についてのコンテキストにおいて本明細書の他の場所で説明されるように、介在層は、例えば、ウェハレベルの裏側暴露処理で使用されるエッチングマーカ又はエッチングストップの1又は複数として機能する、デバイス階層の裏側の高い均一な露出を容易にし得る。
工程125では、裏側から露出されたデバイス階層の表面は、両面デバイス階層を形成するために処理される。特定の実施形態において、天然材料、例えば、デバイス層の任意の領域に接触するドナー基板のこれらのいずれかは、工程125で1又は複数の非天然材料と置き換えられてよい。例えば、半導体デバイス層又は介在層の一部は、1又は複数の他の半導体、金属又は誘電体材料と置き換えられてよい。いくつかの他の実施形態において、非天然材料は、デバイス階層の裏側の少なくとも一部の上方又は少なくとも一部上に堆積されてよい。いくつかのさらなる実施形態において、暴露工程120中に除去された表側材料の部分は、工程125において置き換えられてもよい。例えば、表側デバイス製造中に形成された半導体本体、誘電体スペーサ、ゲートスタック又はコンタクト・メタライゼーションの一部は、表側デバイスの裏側デプロセッシング/再処理中に、1又は複数の他の半導体、金属又は誘電体材料と置き換えられてよい。さらに他の実施形態では、第2のデバイス階層又は金属インターポーザが暴露された裏側に接合される。
方法101は、工程130でデバイス階層-ホスト基板アセンブリの出力を完了する。デバイス階層-ホストアセンブリは、次に、さらに処理され得る。例えば、任意の適切な技術が、デバイス階層-ホスト基板アセンブリの単体化及びパッケージ化に使用され得る。ホスト基板は、全体的に犠牲となる場合、デバイス階層-ホスト基板のパッケージングは、デバイス階層からのホスト基板の分離を伴ってよい。ホスト基板が、全体的に犠牲とならない場合(例えば、ホスト基板がデバイス階層も含む場合)、工程130におけるデバイス階層-ホストアセンブリの出力は、ホスト基板の入力として、方法101の後続の反復(図1内の破線)中に工程115にフィードバックされてよい。ホスト基板として、デバイス階層-ホストアセンブリは、別のドナー基板と接合されてよく、方法101が繰り返される。方法101の反復は、それにより、例えば、それぞれわずか数十又は数百ナノメートルの厚さで、任意の数の両面デバイス階層のウェハレベルアセンブリを形成し得る。いくつかの実施形態において、かつ、本明細書の他の場所でさらに説明されるように、デバイス階層内の1又は複数のデバイス(例えば、トランジスタ)又はデバイスセル(例えば、1T‐1Rメモリセル)は、例えば、両面デバイス階層のウェハレベルアセンブリの製造における収量制御ポイントとして、工程130で電気的に試験される。以下でさらに説明されるいくつかの実施形態において、電気試験は、裏側デバイスのプロービングを伴う。
図2A、図2B、図2C、図2D、図2E、図2F及び図2Gは、いくつかの実施形態に従う、両面デバイスの処理方法、例えば、方法101を用いて処理される基板の平面図である。図3A、図3B、図3C、図3D、図3E、図3F、図3G、図3H及び図3Iは、いくつかの実施形態に従う、両面デバイスの処理方法を用いて処理される基板の断面図に対応する。図2A及び図3Aに示されるように、ドナー基板201は、表側ウェハの表面の全てにわたり任意の空間レイアウトにおいて、複数のICダイ211を含む。ICダイ211の表側処理は、任意のデバイス構造を形成するために、任意の技術に従って実行されていてよい。例示的な実施形態において、ダイ211は、デバイス層215内に1又は複数の半導体領域を含む。ダイ211は、デバイス層215の表側の上方に1又は複数の表側インターコネクトメタライゼーションレベル(不図示)をさらに含む。介在層210は、キャリア層205からデバイス層215の裏側を分離する。例示的な実施形態において、介在層210は、キャリア層205及びデバイス層215の両方と直接接触する。代替的に、1又は複数のスペーサ層が、介在層210とデバイス層215及び/又はキャリア層205との間に配置されてよい。ドナー基板201は、例えば、デバイス層215の上方及び/又はキャリア層205の下方に他の層をさらに含んでよい。
デバイス層215は、特定のICデバイスに適していることが知られる任意のデバイス材料組成、例えば、限定されることはないが、トランジスタ、ダイオード及び抵抗器のうちの1又は複数の層を含んでよい。いくつかの例示的な実施形態において、デバイス層215は、1又は複数のIV族(すなわち、IUPACの14族)半導体材料層(例えば、Si、Ge、SiGe)、III-V族半導体材料層(例えば、GaA、InGaA、InA、InP)又はIII-N族半導体材料層(例えば、GaN、AlGaN、InGaN)を含む。デバイス層215は、1又は複数の、II-VI族半導体材料層又は半導体遷移金属ジカルコゲナイド(TMD又はTMDC)層も含んでよい。他の実施形態において、デバイス層215は、半導体特性を有する1又は複数のグラフェン層又はグラフェン材料層を含む。さらに他の実施形態において、デバイス層215は、1又は複数の酸化物半導体層を含む。例示的な酸化物半導体は、遷移金属(例えば、IUPACの4~10族)又はポスト遷移金属(例えば、IUPACの11~14族)から成る酸化物を含む。有利な実施形態において、酸化物半導体は、Cu、Zn、Sn、Ti、Ni、Ga、In、Sr、Cr、Co、V又はMoのうちの少なくとも1つを含む。金属酸化物は、亜酸化物(AO)、一酸化物(AO)、二元酸化物(AO)、三元酸化物(ABO)及びこれらの混合物であってよい。他の実施形態において、デバイス層215は、1又は複数の磁性、強磁性、強誘電材料層を含む。例えば、デバイス層215は、トンネリング接合デバイスに適していることが知られる任意の材料、例えば、限定されなが、磁気トンネル接合(MTJ)デバイスから成る1又は複数の層を含んでよい。
いくつかの実施形態において、デバイス層215は、実質的に単結晶である。単結晶ではあるが、かなり多くの数の結晶対の傷が、それにもかかわらず存在し得る。他の実施形態において、デバイス層215は、非結晶又は多結晶(例えば、マイクロ又はナノ結晶)である。デバイス層215は、任意の厚さ(例えば、図3Aにおけるz次元)であってよい。いくつかの例示的な実施形態において、デバイス層215は、ダイ211の機能的な半導体領域として使用される半導体領域の少なくとも一部のz方向の厚さより大きい厚さを有する。デバイス層215上に構築され、及び/又は、デバイス層215内に埋め込まれた機能領域は、デバイス層215の全体的な厚さまで広げる必要はない。いくつかの実施形態において、ダイ211の半導体領域は、破線212により図3Aにおいて境界が画定されたデバイス層215の頂部側の厚さ内のみに配置される。例えば、ダイ211の半導体領域は、200~300nm又はそれより小さいのz方向の厚さを有してよく、一方で、デバイス層は、700~1000nmのz方向の厚さ又はそれより大きい厚さを有してよい。そのため、デバイス層の厚さの周囲600nmは、介在層210からダイ211の半導体領域を分離してよい。例えば、1000nmから10μmにわたる、より大きなデバイス層の厚さも可能である。
キャリア層205は、デバイス層215と同一の材料組成を有してよい、又は、デバイス層215とは異なる材料組成を有してよい。キャリア層205及びデバイス層215が同一の組成を有する実施形態に関して、当該2つの層は、介在層210に対するこれらの位置により識別され得る。デバイス層215が結晶性IV族、III-V族又はIII-N族半導体であるいくつかの実施形態において、キャリア層205は、デバイス層215と同じ結晶性IV族、III-V族又はIII-N族半導体である。デバイス層215が、結晶性IV族、III-V族又はIII-N族半導体の代替的な実施形態において、キャリア層205は、デバイス層215とは異なる結晶性IV族、III-V族又はIII-N族半導体である。さらに他の実施形態において、キャリア層205は、デバイス層215がその上に転写又は成長された材料を含んでよい、又は、当該材料であってもよい。例えば、キャリア層205は、1又は複数のアモルファス酸化物層(例えば、ガラス)又は酸化物結晶層(例えば、サファイア)、ポリマーシート、又は、ICデバイス処理中にキャリアとして適していることが知られる構造支持体に構築され又は積層される任意の材料を含んでよい。キャリア層205は、キャリア材料の特性及び基板の直径に応じた任意の厚さ(例えば、図3Aにおけるz次元)であってよい。例えば、キャリア層205は、大型(例えば、300~450mm)の半導体基板であり、キャリア層の厚さは、700~1000μm又はそれより大きくてよい。
いくつかの実施形態において、1又は複数の介在層210は、キャリア層205とデバイス層215との間に配置される。いくつかの例示的な実施形態において、介在層210は、キャリア層205の後続の除去中に検出可能なマーカとして機能し得るように、組成的にキャリア層205とは異なる。そのようないくつかの実施形態において、介在層210は、キャリア層205のエッチャントに対して露出された場合、キャリア層205より著しく遅い速度でエッチングされる(すなわち、介在層210が、キャリア層のエッチング処理に関するエッチングストップとして機能する)組成を有する。さらなる実施形態において、介在層210は、デバイス層215の組成とは異なる組成を有し、介在層210は、例えば、金属、半導体又は誘電体材料であってよい。
キャリア層205及びデバイス層215のうちの少なくとも1つが結晶性半導体であるいくつかの例示的な実施形態において、介在層210はまた、結晶性半導体層である。介在層210は、キャリア層205及び/又はデバイス層215と同一の結晶性及び結晶方位をさらに有してよい。そのような実施形態は、介在層210が、アモルファス絶縁体の形成、又は、デバイス層215及び/又はキャリア層205への介在層210の接合(例えば、熱圧着接合)を必要とする材料である代替的な実施形態に対してドナー基板費用を低減するという利点を有し得る。
介在層210が半導体である実施形態に関して、プライマリ半導体格子要素、合金成分又は不純物濃度のうちの1又は複数は、少なくともキャリア層205と介在層210との間で変化してよい。少なくともキャリア層205がIV族半導体であるいくつかの実施形態において、介在層210は、IV族半導体であってもよいが、異なるIV族の元素又は合金及び/又はキャリア層205とは異なる不純物レベルへ不純物種を用いてドープされてもよい。例えば、介在層210は、シリコンキャリアでエピタキシャル成長されたシリコン‐ゲルマニウム合金であってよい。そのような実施形態に関して、介在層が異形になるポイントにおける臨界厚以下の任意の厚さまで、シェードモルフィック介在層が、ヘテロエピタキシャル成長されてよい。代替的に、介在層210は、臨界厚より大きい厚さを有する緩和されたバッファ層であってよい。
他の実施形態において、少なくともキャリア層205は、III-V族半導体であり、介在層210もIII-V族半導体であってよいが、異なるIII-V族合金及び/又はキャリア層205とは異なる不純物レベルへ不純物種を用いてドープされてもよい。例えば、介在層210は、GaAキャリア上でエピタキシャル成長されたAlGaAs合金であってよい。キャリア層205及びデバイス層215の両方が結晶性半導体であるいくつかの他の実施形態において、介在層210はまた、結晶性半導体層であり、キャリア層205及び/又はデバイス層215と同一の結晶性及び結晶方位をさらに有してよい。
キャリア層205及び介在層210の両方がプライマリ半導体格子要素と同一又は異なる実施形態において、不純物ドーパントは、キャリアと介在層とを差別化してよい。例えば、介在層210及びキャリア層205は、両方とも、キャリア層205に存在する不純物を欠く介在層210を有するシリコン結晶であってよい、又は、キャリア層205に存在しない不純物でドープされてよく、又は、キャリア層205に存在する不純物とは異なるレベルへドープされてよい。不純物の差別化は、キャリアと介在層との間で選択にエッチングを与えてよく、又は、単に、裏側処理が前提となり得るマーカとして機能し得る検出可能な種を導入するものであってもよい。
介在層210は、電気的に活性化される不純物(すなわち、介在層210のn型又はp型半導体を表す)でドープされてよい、又はそうでない場合、例えば、工程120で説明されるように、不純物後続のキャリア層の除去中に介在層の検出210に対する任意の基礎を提供する。いくつかの半導体材料のために例示的な電気的に活性化した不純物は、III族成分(例えば、B)、IV族成分(例えば、P)を含む。任意の他の成分は、非電気的に活性化した種として使用されてよい。介在層210内の不純物ドーパントの濃度は、検出に対して十分な量によりキャリア層205から変化する場合のみ必要であり、検出技術及び検出器の感度に応じて、予め定められてよい。
さらに本明細書の他の場所で説明されるように、介在層210は、デバイス層215とは異なる組成を有してよい。そのようないくつかの実施形態において、介在層210は、デバイス層215のバンドギャップとは異なるバンドギャップを有してよい。例えば、介在層210は、デバイス層215よりも広いバンドギャップを有してよい。
介在層210が誘電体材料を有する実施形態において、誘電体材料は、無機材料(例えば、SiO、SiN、SiON、SiOC、水素シルセスキオキサン、メチルシルセスキオキサン)又は有機材料(ポリイミド、ポリノルボルネン、ベンゾシクロブテン)であってよい。いくつかの誘電体の実施形態に関して、介在層210は、埋め込み層(例えば、シリコンデバイス及び/又はキャリア層への酸素の注入を通じたSiOx)として形成されてよい。誘電体介在層の他の実施形態は、デバイス層215へのキャリア層205の接合(例えば、熱圧着接合)を必要とし得る。例えば、ドナー基板201が半導体オン酸化物(SOI)基板である場合、キャリア層205及びデバイス層215のいずれか一方又は両方は、SiO介在層210を形成するために、共に酸化及び接合されてよい。類似の接合技術が、他の無機又は有機誘電体材料に対して使用されてよい。
いくつかの他の実施形態において、介在層210は、横方向(すなわち、図3Aにおけるx方向)に層内で間隔が空けられた2又はそれより多い材料を含む。2又はそれより多い材料は、誘電体及び半導体、誘電体及び金属、半導体及び金属、誘電体及び半導体、2つの異なる誘電体、2つの異なる半導体又は2つの異なる金属を含んでよい。そのような介在層内で、第1の材料は、介在層の厚さまで広がる第2の材料のアイランドを包囲してよい。例えば、介在層は、半導体のアイランドを包囲するフィールド分離誘電体を含んでよく、それは介在層の厚さまで広がる。半導体が、パターニングされた誘電体の開口内でエピタキシャル成長されてよい、又は、誘電体材料が、パターニングされた半導体の開口内に堆積されてよい。
いくつかの例示的な実施形態において、半導体フィーチャ、例えば、フィン又はメサは、半導体デバイス層の表側表面にエッチングされる。これらのフィーチャを囲むトレンチは、例えば、任意の既知のシャロートレンチアイソレーション(STI)処理に従って、後で、分離誘電体を用いて埋め戻されてよい。半導体フィーチャ又は分離誘電体のうちの1又は複数は、例えば、裏側暴露のエッチングストップとして、裏側キャリア層の除去処理を終了するために使用されてよい。いくつかの実施形態において、トレンチ分離誘電体の暴露は、裏側キャリアのポリッシングを終了するために、検出可能な信号を停止し、著しく遅らせ、又は、誘起させてよい。例えば、分離誘電体(例えば、SiO)の除去にわたるキャリア半導体の除去に好都合な高い選択性を有するスラリーを使用するキャリア半導体(例えば、Si)のCMPポリッシュは、デバイス層を含む半導体フィーチャを包囲するトレンチ分離誘電体の(底部)表面が露出すると、著しく遅くてよい。デバイス層が、介在層の表側に配置されるので、デバイス層は、裏側暴露処理に対して直接露出させる必要はない。
別の例として、半導体のアイランドは、キャリア層の上方に配置される誘電体層の厚さまで広がるピンホール内の結晶性キャリア表面から成長されてよい。そのような実施形態について、介在層は、誘電体層内に埋め込まれた半導体アイランドの複合材料である。ドナー基板の製造は、結晶性キャリア層、例えば、シリコン、別のIV族半導体又は代替物を用いて進められてよい。誘電体層(例えば、SiO)は、誘電体層を通じた開口の高密度アレイを形成するために、キャリア層の上方に堆積されることにより、マスク及びエッチングされてよい。そのような開口は、トレンチ又はピンホールであってよい。そのような開口の限界寸法(CD)は、数十ナノメートルから数ミクロンのオーダであってよい。いくつかの実施形態において、開口のアスペクト比は、開口内で成長された半導体内の結晶対の傷についてのアスペクト比トラッピング(ART)を実装するのに十分(例えば、4:1又はそれより大きい)である。キャリア層の結晶面は、開口のそれぞれ内で露出される。露出されたキャリア表面からのヘテロエピタキシャル又はホモエピタキシャル成長は、結晶性半導体を用いてアレイの開口を埋め戻す。例についてのいくつかの実施形態において、シリコンは、非シリコンシード表面上のARTパターン内で成長される。いくつかの他の実施形態において、III-V材料は、III-V族又はIV族のシード表面上のARTパターン内で成長される。いくつかの他の実施形態において、III-N材料は、自然の又は合金化されたIV族のシード表面上のARTパターン内で成長される。いくつかのさらなる実施形態において、結晶性半導体の横方向エピタキシャル過成長(LEO)は、結晶性半導体のアイランドをブリッジし、ピンホール化された介在層210の上方で延在する連続的なデバイス層215を形成する任意の既知の技術を用いて後で実行される。
図4A、図4B及び図4Cは、III-N半導体が介在層210の一部の両方として及びデバイス層215として成長されるいくつかの例示的な実施形態をさらに示す等角図である。いくつかのそのようなヘテロエピタキシャルの実施形態において、介在層の半導体は、IV族(例えば、シリコン)キャリア層上で成長されたIII-N族材料(例えば、GaN)であってよい。それぞれのIII-Nエピタキシャルアイランドは、キャリア成長面に実質的に直交する六方/ウルツ鉱C軸を用いて緩和されてよい。図4Aに示されるように、ドナー基板201は、キャリア層205(例えば、結晶シリコン)の上方に堆積されたフィールド分離誘電体層480(例えば、SiO)を含む。半導体410は、キャリア層205の表面から成長されたIII-N材料(例えば、GaN)ヘテロエピタキシャルであり、フィールド分離誘電体層480内の開口を埋め戻す。III-N半導体のLEOは、図4Bにさらに描かれている。図4A~図4Cに示される成長処理に使用され得るエピタキシャル成長パラメータについての追加の説明に関して、関心のある読者は、2014年9月19日に出願され、共通の所有又は割り当てのもと、国際出願第PCT/USUS2014/56299号(米国出願番号第15504634号)を参照されたい。図4Bに示されるように、描かれた向きでの六方晶について、結晶の欠陥440は、横方向過成長中に横方向にすべり、デバイス層215が、フィールド分離誘電体層480の上方で成長するように、III-N半導体のc平面に対してより平行になる。III-N半導体アイランドが一体化されると、図4Cに示されるように、隣接するIII-N半導体デバイス層215が形成される。追加の材料層、例えば、分極層(不図示)は、デバイス層215のさらなるコンポーネントとして成長され得る。分極層は、デバイス層215内の材料界面で2D電子ガス(2D EG)を促進し得る。
有利には、図4Cに示されるドナー基板201は、別個の基板の任意の接合及び/又はデバイス層215の転写なしで製造され得る。ドナー基板201は、本明細書の他の場所で説明される方法のいずれかに従ってさらに処理されてよい。いくつかのIII-Nデバイス層の実施形態において、高電子移動度トランジスタ(HEMT)がIII-Nデバイス層215において製造される。キャリア層205及び/又は介在層210は、例えば、本明細書の他の場所で説明されるように、デバイス層215から除去されてよい。有利に、ピンホールドされたフィールド分離誘電体層480はまた、キャリア層205の後続の除去中に優れたエッチングストップを提供し得る。エッチングストップに従って、裏側暴露処理は、介在層210をさらに除去して、デバイス層215の裏側を露出させてよい。
半導体及び誘電体の両方を含む介在層内の半導体材料は、ヘテロエピタキシャル成長されたIII-V材料であってもよい。本明細書で用いられるように、ヘテロエピタキシャル成長は、別の材料組成の別の結晶の表面からの1つの材料組成の結晶の成長を指す。一例として、III-Vエピタキシャルデバイス層(例えば、InAlA、InGaAなど)は、結晶性IV族(例えば、Si、Ge、SiGe)又はIII-V族(例えばGaA)キャリア層の上方に配置されるピンホール化された誘電体を通じて成長され得る。そのようないくつかの実施形態について、ドナー基板201は、実質的に、介在層210が、ピンホール化又はトレンチ化されたフィールド分離誘電体層480を有し、かつ、半導体410が、ピンホール及び/又はトレンチのベース部分内で成長されるIII-V化合物(例えば、InAlA)である図4Dにおいて示され得る。デバイス層215は、ピンホール及び/又はトレンチの頂部内で、半導体410の上方でさらに成長される。いくつかの例示的な実施形態において、デバイス層215は、介在層210の一部として成長された材料とは異なる合金組成の第2のIII-V材料(例えば、InGaA)である。後続の処理は、次に、デバイス層215内のデバイス(例えば、トランジスタ)を形成してよい。例えば、本明細書の他の場所で説明されるように、デバイス層215は、フィン又は他の非プレーナ型構造内に製造され得る。
特に、介在層が半導体及び誘電体の両方を含む実施形態に関して、介在層の厚さは、緩和が介在層とキャリアとの間の格子不整合の結果として生じる臨界厚より大幅に大きくてよい。一方で、臨界厚以下の介在層は、ウェハレベルの裏側暴露処理についての不均一性を吸収するのに不十分な厚さであってよく、より大きい厚さを有する実施形態は、裏側暴露処理ウィンドウを増加させるのに有利であり得る。ピンホール化された誘電体を有する実施形態は、デバイス階層からキャリア層の後続の分離を容易にするとともに、デバイス階層内(例えば、デバイス層215内)の結晶品質を向上さ得る。
半導体及び誘電体の両方を含む介在層内の半導体材料は、ホモエピタキシャルであってもよい。本明細書で用いられるように、ホモエピタキシャル成長は、同一の材料組成の別の結晶の表面からの1つの材料組成の結晶の成長を指す。いくつかの例示的な実施形態において、シリコンエピタキシャルデバイス層は、シリコンキャリア層の上方に配置されるピンホール化された誘電体を通じて成長される。そのような実施形態について、ドナー基板構造は、ピンホール化された誘電体が、キャリア層及びデバイス層の後続の分離を容易にし得る図4Dにおいて実質的に示されてもよい。
半導体及び誘電体の両方を含む介在層は、キャリア層の表側にエッチングされた半導体フィーチャ、例えば、限定されないが、シリコン層を含んでもよい。これらのフィーチャは、誘電体材料(例えば、STI)で包囲される場合、ピンホール化された誘電体に成長されたホモエピタキシャル構造と実質的に同じアーキテクチャを有してよい。そのような実施形態に関して、ドナー基板構造は、ここで、デバイス層215とキャリア層205と同一の材料である介在層210内の半導体とを用いた図4Dに示されるものと類似する。そのような実施形態において、誘電体材料480は、ここで、キャリア層及びデバイス層の後続の分離を容易にし得る。
図2A及び図3Aの説明に続き、介在層210は、金属であってもよい。そのような実施形態に関して、金属は、キャリア層205又はデバイス層215に接合するのに適していることで知られる任意の組成であってよい。例えば、キャリア層205及びデバイス層215のいずれか一方又は両方は、金属、例えば、限定されないが、Au又はPtで終わり、例えば、Au又はPt介在層210を形成するために後で共に接合されてよい。そのような金属は、金属構造を包囲するパターニングされた誘電体をさらに含む介在層の一部であってもよい。
介在層210は、任意の厚さ(例えば、図3Aにおけるz方向の高さ)であってよい。介在層210は、デバイス領域及び/又はデバイス層215を露出する前に、キャリア除去工程が確実に終了され得ることを保証するために、十分な厚さであるべきである。介在層210の例示的な厚さは、数百ナノメートルから数マイクロメートルまでの範囲である。厚さは、例えば、除去されるキャリア材料の量、キャリア除去処理の均一性、キャリア除去処理の選択に応じて変化してよい。介在層210が、同一の結晶性及び結晶方位をキャリア層205として有する実施形態に関して、キャリア層の厚さは、介在層210の厚さ分だけ低減されてよい。言い換えれば、介在層210は、キャリア層205としても使用される700~1000μm厚のIV族結晶性半導体基板の頂部であってよい。シェードモルフィックヘテロエピタキシャルの実施形態において、介在層の厚さは、臨界厚に限定されてよい。ART又は別の十分に緩和されたバッファアーキテクチャを使用するヘテロエピタキシャル介在層の実施形態に関して、介在層210は、任意の厚さを有してよい。
図2B及び3Bにさらに示されるように、裏側処理がウェハエリアのかなりの部分にわたる(例えば、ウェハの全体にわたる)キャリア基板材料を除去する実施形態に関して、ドナー基板201は、まず、ドナー・ホスト基板アセンブリ203を形成するために、ホスト基板202に接合されてよい。サブミクロンの厚さに低下させる裏側処理が、(例えば、IC内のトランジスタのサブセットと一致する)十分に小さい領域に閉じ込められる代替的な実施形態において、そのようなホスト基板の接合は、回避され得る。しかしながら、図示された実施形態では、ドナー基板201の表側表面は、デバイス層215が、ホスト基板202に近位であり、キャリア層205がホスト基板202から遠位であるように、ホスト基板202の表面に接合される。ホスト基板202は、デバイス層215及び/又はデバイス層215の上方に製造される表側積層体に対する接合に適していることが知られる任意の基板であってよい。いくつかの実施形態において、ホスト基板202は、1又は複数の追加的なデバイス階層を含む。例えば、ホスト基板202は、1又は複数のデバイス層(不図示)をさらに含んでよい。ホスト基板202は、ホスト基板202のデバイス層において製造されるICデバイスが、相互接続される集積回路を含んでよく、集積回路において、ホスト基板202に対するデバイス層215の接合は、ウェハレベルの接合を通じた3Dインターコネクト構造の形成をさらに伴ってよい。
図3Bにより詳細に説明されないが、任意の数の表側層、例えば、インターコネクトメタライゼーションレベル及び層間誘電体(ILD)層が、デバイス層215とホスト基板202との間に存在してよい。ホスト基板202及びドナー基板201の厚さは、実質的に同じ厚さから他よりも著しく厚い(例えば、5~10x)ものへと変化してよい。いくつかの実施形態について、ホスト基板202は、多くのミクロン(例えば、20~50μm)の厚さであり、集積回路も含む。いくつかの実施形態において、ドナー基板及びホスト基板は、背面と正面と(back‐to‐face)(例えば、ドナー基板201の表面に接合されるホスト基板202の背面)が接合されてよい。そのような実施形態に関して、ホスト基板201上の回路は、ホスト基板のミクロンの厚さまで延在するTSVを含んでよい。これらのTSVは、任意の既知の技術に従ってドナー基板201上のフィーチャに接合されてよい。このように、数十ミクロン厚のホスト基板202は、ホスト基板202により提供される機械的支持を通じて拘束されないドナー基板201の後続の裏側処理をブートストラップするより大きい厚さを有するICの恒久的なフィーチャとして機能し得る。代替的にドナー基板及びホスト基板は、向かい合わせ(face‐to‐face)で接合されてよい。そのような実施形態に関して、ホスト基板201上の回路は、ホスト基板のミクロンの厚さまでの延在するTSVを含む必要はない。むしろ、ドナー基板201の正面上のフィーチャは、任意の既知の技術に従って、ホスト基板202の正面上のフィーチャに接合されてよい。数十ミクロン厚のホスト基板202は、ここで、ホスト基板202により提供される機械的支持に起因して、最小の厚さ制限から自由な厚さを有するドナー基板201の後続の裏側処理をブートストラップするべく機能してよい。露出されたホスト基板202の背面について、ホスト基板202は、例えば、ホスト基板の厚さにより提供される剛性がもはや有利ではなくなった後の製造フローの最後に、最終的に薄くされてよい。
任意の技術が、ホスト基板202及びドナー基板201を接合するために使用されてよい。本明細書の他の場所でさらに説明されるいくつかの例示的な実施形態において、ホスト基板202に対するドナー基板201の接合は、金属-金属、酸化物-酸化物又はハイブリッド(金属/酸化物-金属/酸化物)の熱圧縮接合を通じたものである。そのような恒久的な接合技術は、高い剛性を提供することができる点で有利である。
キャリア層205に対向する面上のデバイス層215に向いているホスト基板202について、キャリア層205の少なくとも一部は、図2C及び図3Cにさらに示されるように、除去され得る。キャリア層205全体が除去された場合、ドナー・ホスト基板アセンブリ203は、平坦な裏側及び表側の面を有する高い均一な厚さを維持する。代替的に、キャリア層205及び介在層210は、非平坦な裏側表面を形成するために、(例えば、マスクされたキャリア層205及びマスクされていないサブ領域のみにおける露出又は除去された介在層210)が選択的に除去され得る。図2C、図3Cにより示される例示的な実施形態において、キャリア層205は、ドナー・ホスト基板アセンブリ203の裏側表面全体から除去される。キャリア層205は、例えば、劈開、グラインディング及び/又はポリッシング(例えば、化学機械研磨)、及び/又はウェット化学エッチング、及び/又は、介在層210を露出するキャリア層の厚さを通じたプラズマエッチングにより除去され得る。1又は複数の工程は、キャリア層205を除去するために使用されてよい。有利に、除去工程は、介在層210の露出の影響を受ける持続時間又は終点信号に基づいて終了され得る。
例えば、図2D及び図3Dにより示されるようなさらなる実施形態において、介在層210は、デバイス層215の裏側を露出すべく、少なくとも部分的にエッチングされてもよい。介在層210の少なくとも一部は、キャリア層のエッチング停止及び/又はキャリア層のエッチング終点トリガとしてのその使用の後に除去され得る。介在層210全体が、(例えば、選択性の高いCMPを用いて)除去される場合、ドナー・ホスト基板アセンブリ203は、キャリア層よりも十分に薄い介在層により与えられる平坦な裏側及び表側の表面を用いて、高くて均一なデバイス層の厚さを維持する。代替的に、介在層210は、マスクされてよく、デバイス層215は、マスクされていないサブ領域のみを露出させ、それにより、非平坦な裏側表面を形成する。図2D、図3Dにより示れる例示的な実施形態において、介在層210は、ドナー・ホスト基板アセンブリ203の裏側表面全体から除去される。介在層210は、例えば、デバイス層215を露出させるために介在層の厚さを通じたポリッシング(例えば、化学機械研磨)及び/又はブランケットウェット化学エッチング、及び/又は、ブランケットプラズマエッチングにより除去されてよい。1又は複数の工程は、介在層210を除去するために使用されてよい。有利に、除去工程は、デバイス層215を露出するのに影響を受ける持続時間又は終点信号に基づいて終了されてよい。
いくつかのさらなる実施形態において、例えば、図2E及び図3Eにより示されるように、デバイス層215は、表側処理中から、以前に形成されたデバイス構造の裏側を露出するために、部分的にエッチングされる。デバイス層215の少なくとも一部は、デバイス半導体領域の1又は複数におけるその製造、及び/又は、介在層のエッチングストップ又は終点トリガとしてのその使用の後に除去され得る。デバイス層215は、基板エリア全体にわたって薄くされる場合、ドナー・ホスト基板アセンブリ203は、平坦な背面及び正面の高くて均一な低減された厚さを維持する。代替的に、デバイス層215は、マスクされてよく、デバイス構造(例えば、デバイス半導体領域)は、マスクされていないサブ領域のみにおいて選択的に暴露され、それにより、非平坦な裏側表面を形成する。そのようないくつかの実施形態は、以下でさらに説明される。しかしながら、図2E及び図3Eにより示される例示的な実施形態において、デバイス層215は、ドナー・ホスト基板アセンブリ203の裏側表面全体にわたって薄くされる。デバイス層215は、例えば、ポリッシング(例えば、化学機械研磨)、及び/又は、ウェット化学エッチング、及び/又は、1又は複数のデバイス半導体領域を露出させるデバイス層の厚さを通じたプラズマエッチング、及び/又は、デバイス層215の表側処理中に以前に形成された1又は複数の他のデバイス構造(例えば、表側デバイス端子のコンタクト・メタライゼーション、ゲート電極のスペーサ誘電体など)により薄くされてよい。1又は複数の工程は、デバイス層215を薄くするために使用されてよい。有利に、デバイス層を薄くすることは、デバイス層215内のパターニングされたフィーチャの露出に影響を受ける持続時間又は終点信号に基づいて終了され得る。例えば、表側処理が、デバイスの分離フィーチャ(例えば、浅いトレンチ分離)を形成する場合、デバイス層215の裏側を薄することは、分離誘電材料をすると、終了されてよい。
非天然材料層は、介在層、デバイス層及び/又はデバイス層215内の特定のデバイス領域の裏側表面、及び/又は、より多くの他のデバイス構造(例えば、表側デバイス端子のコンタクト・メタライゼーション、スペーサ誘電体など)の上方に堆積されてよい。裏側から露出(暴露)された1又は複数の材料は、非天然材料層で覆われてよい、又は、そのような材料と置き換えられてよい。図2F、図3Fにより示されるいくつかの実施形態において、非天然材料層220がデバイス層215上に堆積される。非天然材料層220は、デバイス階層の裏側を暴露するために除去された材料とは異なる組成及び/又は微細構造を有する任意の材料であってよい。例えば、介在層210が、デバイス層215を露出するために除去された場合、非天然材料層220は、介在層210とは異なる組成又は微細構造の別の半導体であってよい。デバイス層215がIII-N半導体であるそのようないくつかの実施形態において、非天然材料層220は、III-Nデバイス領域の暴露された裏側表面で再成長される同一又は異なる組成のIII-N半導体であってもよい。この材料は、例えば、除去される材料の結晶品質より良い結晶品質を有するために、及び/又は、デバイス層及び/又はデバイス層内のデバイス領域内のひずみを誘起するために、及び/又は、積層された(多層)デバイスを製造するのに適したデバイス領域の縦方向(例えば、z次元)積層体を形成するために、暴露されたIII-Nデバイス領域からエピタキシャル再成長されてよい。
デバイス層215がIII-V半導体であるいくつかの他の実施形態において、非天然材料層220は、III-Vデバイス領域の暴露された裏側表面上に再成長される同一又は異なる組成のIII-V半導体であってもよい。この材料は、例えば、除去される材料の結晶品質よりも、比較的より良い結晶品質を有するために、及び/又は、デバイス層又はデバイス層内の特定のデバイス領域内のひずみを誘起するために、及び/又は、積層された(多層)デバイスに適したデバイス半導体領域の縦方向の積層体を形成するために、暴露されたIII-Vデバイス領域からエピタキシャル再成長されてよい。
デバイス層215がIV族半導体であるいくつかの他の実施形態において、非天然材料層220は、IV族デバイス領域の暴露された裏側表面上で再成長される同一又は異なる組成のIV族半導体であってもよい。この材料は、例えば、除去された材料の結晶品質よりも比較的より良い結晶品質を有するために、及び/又は、デバイス領域内のひずみを誘起するために、及び/又は、積層された(多層)デバイスに適したデバイス半導体領域の積層体を形成するために、暴露されたIV族デバイス領域からエピタキシャル再成長されてよい。
いくつかの他の実施形態において、非天然材料層220は、例えば、限定されないが、SiO、SiON、SiOC、水素シルセスキオキサン、メチルシルセスキオキサン、ポリイミド、ポリノルボルネン又はベンゾシクロブテンなどの誘電体材料である。そのような誘電体の堆積は、様々なデバイス構造、例えば、ドナー基板201の表側処理中に以前に形成され得る半導体デバイス領域と電気的に分離されるために機能してよい。そのような誘電体材料層は、インターコネクトメタライゼーション層又はレベルをさらに含む裏側材料積層体の第1の層であってよい。
いくつかの他の実施形態において、非天然材料層220は、導電性材料、例えば、裏側から暴露されたデバイス領域の1又は複数の表面に接触するのに適していることが知られる任意の元素金属又は金属合金である。いくつかの実施形態において、非天然材料層220は、裏側から暴露されたデバイス領域、例えば、トランジスタのソース/ドレイン(すなわち、ソース又はドレイン)領域に接触するのに適しているメタライゼーションである。
いくつかの実施形態において、非天然材料層220は、材料の積層体、例えば、Fゲート誘電体層及びゲート電極層の両方を含むFETゲートスタックである。一例として、非天然材料層220は、裏側から暴露された半導体デバイス領域、例えば、トランジスタチャネル領域に接触するのに適しているゲートスタックであってよい。デバイス層215に対するオプションとして説明される他の材料のいずれかは、デバイス層215の裏側の上方に堆積されもよい。例えば、非天然材料層220は、上記の酸化物半導体、TMDC又はトンネリング材料のいずれかであってよく、例えば、縦方向に積層されたデバイス階層を漸進的に製造するために、裏側上に堆積されてよい。
裏側ウェハレベル処理は、表側処理に適していることが知られる任意の方式で継続してよい。例えば、非天然材料層220は、任意の既知のリソグラフィ及びエッチング技術を用いて、能動デバイス領域、デバイスの分離領域、デバイスコンタクト・メタライゼーション又はデバイスインターコネクトにパターニングされてよい。裏側ウェハレベル処理は、異なるデバイスの端子をICに結合する1又は複数のインターコネクトメタライゼーションレベルをさらに製造してよい。さらに、本明細書の他の場所で説明されるいくつかの実施形態において、裏側処理は、IC内の様々なデバイス端子に電力バスを相互接続するために使用されてよい。
いくつかの実施形態において、裏側処理は、セカンダリホスト基板に接合することを含む。そのような接合は、裏側(例えば、非天然)材料層を別の基板に接合するために、任意の層転写処理を使用してよい。そのような接合に従って、元のホスト基板は、表側積層体及び/又はデバイス層の表側を再露出させるべく、犠牲ドナーとして除去されてよい。そのような実施形態では、アセンブリのコアとして機能する第1のデバイス層を有するデバイス階層の反復的な左右交互の積層を可能にし得る。図2G及び図3Gに示されるいくつかの実施形態において、非天然材料層220に接合されるセカンダリホスト基板240は、少なくとも機械的支持を提供し、一方で、ホスト基板202は除去される。
任意の接合、例えば、限定されることはないが、熱圧着接合又は類似の焼結処理は、セカンダリホスト基板240を非天然材料層220に接合するために使用されてよい。いくつかの実施形態において、セカンダリホスト基板240の表面層及び非天然材料層220の両方は、熱圧着される連続的な誘電体層(例えば、SiO)である。いくつかの他の実施形態において、セカンダリホスト基板240の表面層及び非天然材料層220の両方は、熱圧着される金属層(例えば、Au、Ptなど)を有する。他の実施形態において、セカンダリホスト基板240の表面層及び非天然材料層220のうちの少なくとも1つは、パターニングされ、当該パターニングは、パターニングされた金属面(すなわち、トレース)及び包囲誘電体(例えば、分離)の両方を含み、ハイブリッド(例えば、金属/酸化)接合を形成するために熱圧着される。そのような実施形態に関して、セカンダリホスト基板240及びパターニングされた非天然材料層220における構造的なフィーチャは、接合処理中に(例えば、光学的に)位置合わせされてよい。いくつかの実施形態において、非天然材料層220は、デバイス層215において製造されるトランジスタの端子に結合される1又は複数の導電性裏側トレースを含む。導電性裏側トレースは、例えば、セカンダリホスト基板240上のメタライゼーションに接合されてよい。
代替的な実施形態において、セカンダリドナー基板は、非天然材料層220に接合される。図3Gに示されるアセンブリは、そのような実施形態にも適用可能である。しかしながら、ホスト基板202は、例えば、ドナー基板201について上述したものと実質的に同じ態様で、少なくともセカンダリドナー基板の裏側処理が完了するまで除去されない。このように、任意の数のドナー基板は、ホスト基板202により提供されるサポートに依存して、積層され、薄くされ得る。そのようなサポートが、もはや必要とされなくなった後に、ホスト基板202は、(例えば、ホスト基板202は、ドナー基板201と向かい合わせ(face‐to‐face)で接合された場合)薄くされてよい。
ホスト(又はセカンダリドナー)基板に対するデバイス階層の接合は、デバイス層の表側処理が完了する前後に、デバイス層の表側及び/又は裏側から進められてよい。接合処理は、ドナー基板上のデバイス(例えば、トランジスタ)の表側の製造が実質的に完了した後に実行されてよい。代替的にホスト(又はセカンダリドナー)基板の接合は、ドナー基板上のデバイス(例えば、トランジスタ)の表側の製造を完了する前に実行されてよく、その場合、ドナー基板上のデバイス層の表側は、ホスト(又はセカンダリドナー)基板への裏側接合の後に、追加の処理を受けてよい。例えば、図2H及び図3Hにさらに示されるように、表側処理は、デバイス層215の表側を再露出させるためにホスト基板202の除去を含む。この時点で、ドナー・ホスト基板アセンブリ203は、非天然材料層220を通じてデバイス層215に接合されるセカンダリホスト基板240を含む。
図3Iは、いくつかの実施形態に従う、表側及び裏側メタライゼーションをさらに示すデバイス層215の拡大図を示す。図3Iでは、ホスト基板は、図示されておらず、例えば、デバイスパッケージング処理中に除去される。デバイス層215は、分離誘電体480により包囲される複数の半導体本体310を含む。半導体本体310のそれぞれは、例えば、1又は複数のFinFETから成るコンポーネントであってよい。表側インターコネクトメタライゼーション333は、層間誘電体(ILD)内に埋め込まれた複数のインターコネクトメタライゼーションレベル320を含む。裏側インターコネクトメタライゼーション334は、層間誘電体(ILD)内に埋め込まれた複数のインターコネクトメタライゼーションレベル321を含む。表側インターコネクトメタライゼーション333及び裏側インターコネクトメタライゼーション334は、例えば、以下でさらに説明されるように、異なる材料組成及び/又は寸法を有してよい。インターコネクトメタライゼーション333及び334のそれぞれは、これらのより低いレベルから緩和される寸法を通常有する高いレベルを有する任意の数のレベルを有してよい。表側インターコネクトメタライゼーション333及び裏側インターコネクトメタライゼーション334の両方と完全に相互接続された場合、デバイス層215は、1つのIC階層350である。IC階層は、1つのみ又は両方の表側インターコネクトメタライゼーション及び裏側インターコネクトメタライゼーションを含んでよい。単一のIC階層は、任意の適切な技術に従ってパッケージ化されてよい。代替的に、上述したように、IC階層は、別のデバイス階層を含むセカンダリホスト基板又はドナー基板と接合されてよい。
図3Jは、いくつかの実施形態に従う、3D IC360に接合される複数のIC階層350をさらに示す。各IC階層350は、デバイス層215を含み、例えば、本明細書の他の場所で説明される特性のいずれかを有する。示されるように、3D IC360は、バルク結晶基板材料と関連付けられる厚さのオーバヘッドのいずれかを欠いている。そのような材料がない場合、3D IC360は、ミクロン厚TSV構造を有していない。むしろ、IC階層350は、接合390を通じて互いに結合し、当該接合は、任意の適切な接合技術、例えば、限定されることはないが、熱圧縮接合又は半田接合であってよい。接合390は、別個のIC階層のインターコネクトメタライゼーションフィーチャ間における適切なアラインメントを実現すべく、十分な形状の2つのインターコネクトメタライゼーション層間にある。任意の数のIC階層350は、例えば、本明細書で説明される技術の1又は複数に従って、接合されてよい。いくつかの実施形態において、IC階層のペアは、(表側と表側とが)向かい合って接合される。いくつかの実施形態において、IC階層のペアは、(裏側と裏側とが)背中合わせで接合される。いくつかの実施形態において、IC階層のペアは、(表側と裏側とが)向かい合わせで接合され、表側と裏側との区別は、実装に依存する。少なくとも4つのIC階層を有するいくつかの実施形態において、IC層の第1の(内側の)ペアは、向かい合わせ(face‐to‐face)で接合され、一方で、第1のペアのそれぞれは、IC層の第2の(外側の)ペアの個々のそれぞれと背中合わせ(back-to‐Back)に接合される。
図5は、いくつかの実施形態に従う裏側暴露方法を示すフロー図501である。方法501は、例えば、工程120(図1)の一部のように、及び、図2C~図2E及び図3C~図3Eに示されるように、例えば、ドナー・ホスト基板アセンブリのキャリア層及び介在層の少なくとも一部を除去するために使用されてよい。方法501は、工程505において、ドナー・ホスト基板アセンブリの入力から始まる。いくつかの実施形態においては、工程505において受容されるドナー・ホスト基板アセンブリは、図3Bに示されるようなドナー・ホスト基板アセンブリ203である。工程510では、ドナー・ホスト基板内のキャリア層の厚さは、ポリッシング(例えば、CMP)及び/又はウェット又はドライ(例えば、プラズマ)エッチング処理を通じてエッチングされる。キャリア層の組成に適していることが知られる任意のグラインド、ポリッシング、及び/又は、マスキングされた又はマスキングされていないウェット/ドライエッチング処理は、工程510で使用されてよい。例えば、キャリア層がIV族半導体(例えば、シリコン)である場合、半導体を薄くするのに適していることが知られるCMPスラリーが、工程510で使用されてよい。別の例として、IV族半導体へのエッチングフィーチャに適していることが知られる任意のウェットエッチャント又はプラズマエッチング処理が工程510で使用されてもよい。
いくつかの実施形態において、工程510は、介在層に対して実質的に平行な破砕面に沿ってキャリア層を劈開することにより進められる。劈開又は破砕処理は、バルク質量として、キャリア層のかなりの部分を除去するために利用されてよく、キャリア層を除去するのに必要とされるポリッシング又はエッチング時間を減少させる。例えば、キャリア層が400~900μmの厚さである場合、100~700μmが剥離されてよい。ウェハレベルの破砕を促進させることで知られる任意のブランケット注入が工程510で使用されてよい。いくつかの例示的な実施形態において、軽元素(例えば、H、He又はLi)が、破砕面が所望されるキャリア層内の均一なターゲット深さに注入される。 そのような劈開処理に続いて、ドナー・ホスト基板アセンブリに残るキャリア層の厚さは、次に、ポリッシング又はエッチングされて、除去を完了してよい。代替的に、キャリア層が破砕、研削、ポリッシング及び/又はエッチングされない場合、工程510では、キャリア層のより大きい厚さを除去するために用いられてよい。
工程515では、介在層の露出が検出される。検出工程515では、デバイス層の露出の前に、ドナー基板の裏側表面が介在層に進んだ場合に識別される。キャリア層及び介在層に使用される材料間の遷移を検出するのに適していることで知られる任意の終点検出技術が、工程515で実施されてよい。いくつかの実施形態において、1又は複数の終点基準は、工程510のポリッシング及び/又はエッチング中に、ドナー基板の裏側表面の光の吸収又は放射における変化を検出することに基づいている。いくつかの他の実施形態において、終点基準は、ドナー基板の裏側表面のポリッシング又はエッチング中に、副生成物の光の吸収又は放射における変化に関連付られている。例えば、キャリア層のエッチング中の副生成物と関連付けられる吸収又は放射波長は、キャリア層及び介在層の異なる組成に応じて変化してよい。他の実施形態において、終点基準は、ドナー基板の裏側表面をポリッシング又はエッチング中の副生成物における種の質量における変化と関連付られている。例えば、工程510の副生成物は、四重極質量解析器を通じてサンプリングされてよく、種の質量における変化は、キャリア層及び介在層の異なる組成と相関があってよい。別の例示的な実施形態において、終点基準は、ドナー基板の裏側表面と、ドナー基板の裏側表面と接触するポリッシング面との間の破砕における変化と関連付られている。
キャリア除去処理における不均一性が、キャリア層と介在層との間のエッチング速度の差分により軽減されて得るので、除去処理が介在層に対するキャリア層に選択的である場合、介在層の検出が強化される。さらに、研削、ポリッシング及び/又はエッチング工程510が、キャリア層が除去される速度より十分に遅い速度で介在層を除去する場合、検出工程515が省略される。終点基準が、工程515で使用されない場合、介在層の厚さがエッチングの選択に十分である場合に、予め定められた固定の持続時間の研削、ポリッシング及び/又はエッチング工程510は、介在層の材料で停止されてよい。キャリアが半導体であり、介在層が誘電体であるいくつかの例では、キャリアのエッチング速度と介在層エッチング速度との比は、3:1~10:1又はそれより大きくであってよい。工程510で使用されるCMPプロセスは、半導体(例えば、シリコン)と、例えば、隣接するデバイス領域間の電気的な分離のような、デバイス層を包囲し、かつ、介在層内に埋め込まれる誘電体材料(例えば、SiO)との間で、例えば、非常に高い選択性(例えば、100:1~300:1又はそれより大きい)を提供するスラリーを使用してよい。
介在層を露出すると、介在層の少なくとも一部は、工程520で除去されてよい。例えば、介在層の1又は複数のコンポーネント層が除去され得る。しかしながら、工程520は任意である。介在層の厚さは、例えば、ポリッシングにより均一に除去され得る。代替的に、介在層の厚さは、マスク又はブランケットエッチング処理を用いて除去され得る。工程520は、工程515でキャリアを薄くするために使用されるのと同一のポリッシング又はエッチング処理を使用してよい、又は、別個の処理パラメータを用いた別個の処理であってよい。例えば、介在層は、キャリア除去処理をエッチングストップに提供し、工程520は、デバイス層の除去にわたる介在層の除去に都合がよい様々なポリッシング又はエッチング処理を使用してよい。数百ナノメートルより小さい介在層の厚さが除去される場合、除去処理は、キャリア層の除去に使用され場合より、ウェハ全体の均一性のために比較的遅く、最適化され、より正確に制御され得る。工程520で使用されるCMPプロセスは、半導体(例えば、シリコン)と、例えば、隣接するデバイス領域間の電気的な分離のような、デバイス層を包囲し、かつ、介在層内に埋め込まれる誘電体材料(例えば、SiO)との間で、例えば、非常に高い選択性(例えば、100:1~300:1又はそれより大きい)を提供するスラリーを使用してよい。
デバイス層が介在層の除去の完了を通じて暴露される実施形態に関して、裏側処理は、デバイス層内のデバイス層の露出させた裏側又は特定のデバイス領域に対して開始してよい。いくつかの実施形態において、裏側デバイス層の処理は、デバイス層、例えば、ソース/ドレイン領域において以前に製造された介在層とデバイス領域との間に配置されたデバイス層の厚さを通じた、さらなるポリッシング又はウェット/ドライエッチングを含む。
キャリア層、介在層又はデバイス層の裏側がウェット及び/又はプラズマエッチングで窪みが作られたいくつかの実施形態において、そのようなエッチングは、デバイス層の裏側表面への顕著な非平面性又はトポグラフィを与えるパターニングエッチング又は物質的に選択的なエッチングであってよい。さらに本明細書の他の場所で説明されるように、パターニングは、単一のデバイス構造内(すなわち、「セル内」パターニング)にあってよい、又は、複数(例えば、すべて)のデバイスセル(すなわち、「セル間」パターニング)にわたってよい。いくつかのパターニングエッチングの実施形態において、介在層の少なくとも部分的な厚さは、裏側デバイス層のパターニングに対するハードマスクとして使用される。従って、工程520におけるマスクエッチングは、対応してマスクされるデバイス層のエッチングと前置きしておいてよい。
方法501の出力は、介在層の裏側、デバイス層の裏側、及び/又は、デバイス層内の1又は複数の半導体領域の裏側、及び/又は、表側メタライゼーションがデバイス層内又はデバイス層を通じて形成されるトポグラフィに堆積されていた場所が暴露された表側メタライゼーションを有するICデバイスを含むドナー・ホスト基板アセンブリである。これらの暴露された領域のいずれかの追加の裏側処理は、次に、方法501の下流で実行され得る。いくつかの例示的な実施形態において、方法501の下流で実行される裏側処理は、例えば、さらに本明細書の他の場所で説明されるように、暴露領域の上方での非天然材料の堆積を含む。
図6は、いくつかの実施形態に従う、ICダイ211の拡大図及びICダイ211内に配置された論理トランジスタ構造604のさらなる拡大図を用いたドナー基板201の平面図である。上述したように、ドナー基板201は、例えば、方法101(図1)に入力されてよい。図6をさらに参照すると、複数の論理トランジスタ構造604は、ICダイ211内のデバイス層のエリアにわたって配列される。追加のデバイスセル602は、例えば、メモリセル、パワートランジスタ構造、RFトランジスタ構造又は光デバイスセルなどのいずれかであってよい。トランジスタ構造604は、いくつかの例示的な実施形態に従う、ソース端子、ドレイン端子及びゲート端子を有する電界効果FETを含む。いくつかの実施形態において、ソース端子及びドレイン端子は、同一の導電型を有する半導体を含む。他の実施形態において、ソース端子及びドレイン端子は、相補的な導電型を有する半導体(すなわち、トンネルFET又はTFET)を含む。FETは、ヘテロ接合(すなわち、HFET)を含んでもよく、チャネルがIII-V又はIII-N材料を含む場合、高電子移動度トランジスタ(HEMT)としてみなされてもよい。図6において、トランジスタ構造604内の実線は、他の材料を覆うように形成された突出した材料、又は、トランジスタ構造の階層内の破線で示される構造的なフィーチャを示す。図6内の太い一点鎖線は、断面図に沿って図8A、図8B、図8C、図9A、図9B、図9C及び図11A、図11B、図11Cとしてさらに提供される面A‐A'、B-B'及びC-C'を示し、図番号における文字は、同じ文字により指定される断面図に対応する。
図6にさらに示されるように、トランジスタ構造604は、表側フィールド分離誘電材料680内に埋め込まれる半導体本体610を有する裏側基板605によりサポートされる。いくつかの実施形態において、裏側基板605は、キャリア層(例えば、図3Aのキャリア層205)を含む。いくつかの実施形態において、介在層(不図示)は、トランジスタ構造604から裏側基板605を分離する。いくつかの他の実施形態において、裏側基板605は、キャリア層(例えば、図3Aのキャリア層205)及び介在層(例えば、図3Aの介在層210)の両方を含む。一例では、トランジスタ構造604は、ドナー基板201(図3A)上に製造される。特定の実施形態において、トランジスタセル604は、非プレーナ型FET、例えば、FinFET、Tri‐ゲート及びomega-ゲートなどを含む。図6をさらに参照すると、トランジスタ構造604は、第1及び第2の半導体本体610のそれぞれのチャネル領域にわたってストラッピングするゲート電極673を含む。2つの半導体本体610が図6に示されるが、非プレーナ型FETが、そのような半導体本体を1又は複数含んでよい。いくつかの例示的な実施形態において、半導体本体610は、ドナー基板201のデバイス層から由来する少なくとも1つの半導体領域を含む。例えば、半導体本体610内のトランジスタチャネル領域は、図3Aの半導体デバイス層215から派生したものであってよい。そのため、半導体本体610は、電界効果トランジスタに適しているドナー基板のデバイス層(例えば、図3Aに示されるデバイス層215)について上記の組成のいずれかを有する1又は複数の半導体領域を含んでよい。材料例は、限定されないが、IV族半導体(例えば、Si、Ge、SiGe)、III-V族半導体(例えば、GaA、InGaA、InA、InP)、III-N族半導体(例えば、GaN、AlGaN、InGaN)、酸化物半導体、TMDC、グラフェンなどを含む。いくつかの有利な実施形態において、半導体本体610は単結晶である。
図6にさらに示されるように、ソース/ドレインメタライゼーション650は、ゲート電極673に隣接して配置され、また、半導体本体610にわたって延在する。図示された実施形態において、ソース/ドレインメタライゼーション650は、再成長又は隆起したソース/ドレイン半導体640上に配置され、さらに半導体本体610と接触して配置される。ソース/ドレイン半導体640は、n型又はp型の導電性を与える電気的に活性な不純物でドープされてもよい。いくつかの例示的な実施形態に関して、ソース半導体及びドレイン半導体640の両方は、同一の導電型(例えば、NMOSについてn型及びPMOSについてp型)にドープされる。代替的な実施形態(例えば、トンネリングFET)において、ソース半導体及びドレイン半導体640は、相補的な導電性(例えば、n型ソース及びp型ドレイン)を有するべくドープされる。ソース/ドレイン半導体640は、半導体本体610と適合する任意の半導体材料、例えば、限定されることはないが、IV族半導体(例えば、Si、Ge、SiGe)、及び/又は、III-V族半導体(例えば、InGaA、InA)、及び/又は、III-N族半導体(例えば、InGaN)、及び/又は、(金属)酸化物半導体であってよい。
電気的に絶縁したスペーサ誘電体671は、ソース/ドレインメタライゼーション650及び/又はソース/ドレイン半導体640からゲート電極673を横方向に分離する。ソース/ドレインメタライゼーション650は、ドープされたソース/ドレイン半導体640を用いてオーミック又はトンネリング接合を形成する1又は複数の金属(例えば、Ti、W,Pt、これらの合金及び窒化物)を含んでよい。スペーサ誘電体671は、誘電体又は任意の誘電体、例えば、限定されることはないが、二酸化ケイ素、窒化ケイ素又はシリコン酸窒化物、又は、4.0以下の比誘電率を有する任意の既知のlow‐k材料であってよい。1つのゲート電極673のみが、単一の論理トランジスタ構造の一部として実線で示されるが、例示的な第2のゲート電極673は、隣接するトランジスタ構造と関連付けられるように破線で描かれている。第2のゲート電極はまた、スペーサ誘電体671により、メタライゼーション650及び/又はソース/ドレイン半導体640から横方向に分離される。
図7は、いくつかの実施形態に従うトランジスタの裏側分離の方法を示すフロー図701である。方法701は、わずか数百ナノメートルの厚さに過ぎない可能性があるトランジスタ構造の階層の形成をさらに例示する。方法701は、図1~図5のコンテキストにおける上記で紹介された技術がどのように、裏側分離構造をデバイス階層に提供するように適用され得るかをさらに示す。本明細書の他の場所で説明されるように、そのゆな階層は、潜在的に非常に高い縦方向のセル密度(例えば、高い階層数/マイクロメートル厚)を有する3D ICに縦方向に積層されるのに適している。方法701は、例えば、隣接するデバイス間の基板を通じたリークを減少させることにより、トランジスタの電気的な分離を向上させ得る。方法701は、入力705でドナー基板のデバイス層を使用するトランジスタ構造を含むドナー基板から始まる。トランジスタ構造は、図6に示されるように、例えば、3つの端子を含む表側から製造されるように、完全に動作可能であってよい。代替的に、裏側処理が完了するまで、トランジスタ構造が動作可能とならないように、1又は複数の端子が存在しなくてよい。ドナー基板は、上記のフィーチャ、例えば、限定されることはないが、介在層及びキャリア層のうちの1又は複数を有してよい。しかしながら、特に、キャリア層及び/又は介在層は、方法701を実行するのに必要とされない。工程710では、ドナー・ホスト基板アセンブリは、例えば、本明細書の他の場所(例えば、以下の方法101)で説明されるように、形成される。工程715では、トランジスタ階層―ホスト基板アセンブリを形成するために、少なくともドナー基板の一部を除去することにより、トランジスタ構造の裏側が暴露される。次に、分離誘電体は、例示的な実施形態では半導体又は金属の表面である暴露された裏側表面の上方に堆積されてよい。工程720では、裏側の分離されたトランジスタ階層―ホスト基板アセンブリは、方法701から出力され、いつでも、さらなる処理、例えば、以下の方法101の後続の反復(図1)を受けることができる。
図8A、図9A、図10A及び図11Aは、いくつかの実施形態に従って、方法701における工程が実行されるときの図6に示されるA‐A'面に沿うトランジスタ構造604の断面図を示す。図8B、図9B、図10B及び図11Bは、いくつかの実施形態に従って、方法701における工程が実行されるときの図6に示されるB‐B'面に沿うトランジスタ構造604の断面図を示す。図8C、図9C、図10C及び図11Cは、いくつかの実施形態に従って、方法701における工程が実行されるときの図6に示されるC‐C'面に沿うトランジスタ構造604の断面図を示す。特に、トランジスタ構造604が、半導体本体の複数の面に結合するゲート電極を用いた非プレーナ型トランジスタ構造を示す一方で、方法701は、プレーナ型トランジスタ構造に類似した様式に適用されてもよい。
図8A~図8Cは、ドナー基板の表側処理の後の例示的なトランジスタ構造に存在する構造を示す。半導体本体610は、フィンの高さH分だけ、縦方向(例えば、z次元)に伸びるフィン構造である。半導体本体610は、チャネルの高さHを有するチャネル部を含む。いくつかの例示的な実施形態において、チャネルの高さHは、デバイス層215を含む。図8A~図8Cに示される実施形態において、半導体本体610は、デバイス層215も含むサブフィンの高さHsfを有するサブフィン部分をさらに含む。トランジスタ半導体本体610は、例えば、デバイス層215のパターニングされた前側リセスエッチングを用いて形成されていてよい。さらに本明細書の他の場所で説明されるように、半導体フィン本体は、サブフィン半導体が介在層210のコンポーネント(図3A)であってよい一方で、デバイス層215がチャネル部のみであってよい場合、チャネル部とは異なる組成のサブフィン半導体を代替的に含んでよい。代替的に、サブフィン半導体は、デバイス層215と裏側基板605との間のスペーサを考慮してよく、サブフィン半導体とキャリア層との間の介在層をさらに含んでよい。半導体本体610の1又は複数の側壁を包囲するのは、フィールド分離誘電体680である。フィールド分離誘電体680は、横方向(例えば、x又はy次元)に隣接するトランジスタ間に電気的な分離を提供するのに適している1又は複数の材料であってよい。いくつかの例示的な実施形態において、フィールド分離誘電体680は、二酸化ケイ素を含む。他の材料、例えば、限定されることはないが、SiN SiON、SiOC、ポリイミド、HSQ又はMSQも可能である。いくつかの実施形態において、フィールド分離誘電体680及び半導体本体610のサブフィン部分は、キャリア除去処理が停止される介在層を作成する。
トランジスタ半導体本体610のチャネル部と交差するゲート誘電体845の上方に配置されるゲート電極673を含むゲートスタックは、図8A及び図8Bにさらに示される。ソース/ドレインメタライゼーションとソース/ドレイン半導体640との交点が図8Cにさらに示される。半導体本体のチャネル部は、ゲートスタックに結合され、側壁の高さHを有し、側壁の高さHは、サブフィンのz方向の高さHsfを有するサブフィンよりも低い。半導体本体610に適していることで知られる任意のゲートスタックの材料が利用され得る一方で、いくつかの例示的な実施形態では、ゲートスタックは、(9より大きいバルク比誘電率を有する)high-kの誘電体材料及び半導体本体610に適している仕事関数を有する金属ゲート電極を含む。例示的なhigh-k材料は、金属酸化物、例えば、限定されないが、AI、HfO、HfAlOを含む。ケイ酸塩、例えば、限定されないが、HfSiO又はTaSiOは、いくつかの半導体本体組成(例えば、Si、Ge、SiGe、III-V)に適していてもよい。ゲート電極673は、5eV以下の仕事関数を有する点で有利であり得、元素金属層、金属合金層又はこれらのいずれか一方又は両方の積層構造を含んでよい。いくつかの実施形態において、ゲート電極は、金属窒化物、例えば、TiN(例えば、4.0~4.7eV)である。ゲート電極は、Al(例えば、TiAlN)であってもよい。他の合金成分が、ゲート電極673、例えば、限定されることはないが、C、Ta、W,Pt及びSnにおいて使用されてもよい。
図8A~図8Cは、表側表面の上方に配置される表側積層体690をさらに示す。表側積層体690は、制限なく変化し得、例えば、任意の数のバックエンドインターコネクトメタライゼーションレベルを含み得るトランジスタ構造の階層の一部として破線で示される。そのようなレベルは、半導体本体610から、及び/又は、1又は複数の中間誘電体(ILD)層により互いから分離されてよい。介在層及び/又はキャリア層をさらに含むかもしれない裏側基板605は、裏側表面の上方に配置される。
図9A~図9Cは、ホスト基板202に対するドナー基板の接合の後のトランジスタ構造604を示す。ホスト基板202は、本明細書の他の場所で説明される特性のいずれかを有してよい。示されるように、ホスト基板202は、例えば、熱圧着接合により、表側積層体690の表側表面に接合される。図9A~図9Cにさらに示すように、裏側基板605は、除去されており、介在層210の裏側表面911を露出する。裏側基板605は、任意の技術、例えば、限定されることはないが、方法501(図5)により除去されてよい。マーカ又はエッチングストップは、例えば、フィールド分離誘電体680の裏側を暴露する前に、キャリアの除去工程を終了するときに、介在層210内に存在していてもよい。
図10A~図10Cは、半導体本体610の裏側1012の暴露の後のトランジスタ構造604を示す。トランジスタ半導体本体610の裏側を暴露するために、トランジスタ半導体本体610がアンカー留めされていたバルク半導体の部分は、例えば、工程520(図5)について上述したように、ポリッシング、及び/又は、ウェット及び/又はドライエッチング処理を用いてリセスエッチングされてよい。誘電体(例えば、SiO)よりもデバイス層半導体(例えば、Si)の高いエッチング速度を有する選択性の高い(例えば、200~300:1)CMPスラリーが使用されるいくつかの例示的な実施形態において、介在層210の裏側ポリッシュは、フィールド分離誘電体680を露出したときに停止され得る。任意の量のオーバーエッチ(オーバーポリッシュ)は、半導体本体610のサブフィン部分及び隣接するフィールド分離誘電体680を有する介在層をさらに薄くすることにより、サブフィンの高さHsfを低減するように実行されてよい。いくつかの実施形態において、トランジスタ構造内の1又は複数の半導体本体用のサブフィン全体が、裏側暴露工程中に除去され得る。
図11A~図11Cは、トランジスタ半導体本体610の暴露された裏側の上方に裏側分離誘電体1120を堆積した後のトランジスタ構造604を示す。裏側分離誘電体1120は、トランジスタ半導体領域を露出するために除去された介在層の一部を置き換える非天然材料の一例である。裏側分離誘電体1120は、トランジスタの電気的な分離に適している任意の誘電材料であってよい。いくつかの例示的な実施形態において、裏側分離誘電体1120は、二酸化ケイ素である。しかしながら、特に、裏側分離誘電体1120は、ドナー基板に前もって組み込まれているのではなく、むしろ裏側暴露後に堆積されるので、例えば、絶縁体層が基板の埋め込み層として上流に提供されるSOI基板よりも、材料のより広い選択が可能となる。従って、いくつかの有利な実施形態において、裏側分離誘電体1120は、(例えば、バルク状態の材料について測定したとき)比誘電率が低い。そのような材料は、多くの場合、多くの表側の製造処理(例えば、ソース/ドレイン形成)と関連付けられる高温処理を維持することができない。裏側分離誘電体は、low‐k誘電材料、例えば、バックエンドインターコネクト積層体内の表側ILDとして適していることが知られるこれらのいずれかであってよい。いくつかの実施形態において、裏側分離誘電体1120は、フィールド分離誘電体680よりも大きくない、及び、より有利には、フィールド分離誘電体680より小さい比誘電率を有する。いくつかの実施形態において、裏側分離誘電体1120は、3.9より小さい、より有利には、3.5より小さい比誘電率を有する。いくつかの実施形態において、裏側分離誘電体1120は、表側積層体690内の1又は複数のILD層と同一の組成を有する。例示的な裏側分離誘電体材料は、SiOC、SiOCH、HSQ又はMSQを含む。他のlow-k誘電体も可能である。同様に、3.9より高い比誘電率を有する他の誘電体材料(例えば、SiN SiON)も可能である。
図11D~図11Fは、半導体本体610の少なくとも一部の裏側分離誘電体1120との置換後のトランジスタ構造604を示す。図11Dは、いくつかの実施形態に従う、図6に示されるA‐A'面に沿うトランジスタ構造604の図を提供する。図11Eは、図6に示されるB‐B'面に沿うトランジスタ構造604の断面図を示し、図11Fは、図6に示されるB‐B'面に沿うトランジスタ構造604の断面図を示す。いくつかの例示的な実施形態において、半導体本体610のサブフィン部分は、例えば、フィールド分離680の上方のサブフィン半導体に対して選択的な任意のエッチング処理を用いて、裏側からエッチングされる。裏側サブフィンのリセッションは、(例えば、チャネル半導体を含む)デバイス層215の裏側を暴露してもよく、しなくてもよい。次に、裏側分離誘電体1120は、結果として生じリセスに埋め戻される。代替的な実施形態において、デバイス層の下の介在層の一部は、分離誘電体に変換される。例えば、半導体本体610のサブフィン部分は、裏側分離誘電体1120に変換されてよい。いくつかの有利な実施形態において、デバイス層215の下の半導体本体610(例えば、シリコン)の少なくとも部分的な厚さは、裏側分離誘電体1120を形成するために、任意の既知の熱及び/又はウェット化学及び/又はプラズマエッチャント化学酸化プロセスを用いてSiCに変換される。
図12は、いくつかの実施形態に従う、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーションを形成するための方法を示すフロー図1201である。方法1201は、わずか数百ナノメートルの厚さに過ぎない可能性があるトランジスタ構造の階層の形成をさらに例示する。方法1201は、入力1205としてトランジスタ構造を含むドナー・ホスト基板アセンブリから始まる。ドナー基板は、上記のフィーチャ、例えば、限定されることはないが、介在層及びキャリア層のうちの1又は複数を有してよい。しかしながら、特に、キャリア層及び/又は介在層は、方法1201を実行するために必要とされない。方法1201の入力において、トランジスタ構造は、完全に動作可能であってよく、例えば、図6に示されるように、3つの端子を含む。代替的に、裏側処理が完了するまでトランジスタ構造が動作可能とならないように、1又は複数の端子は、存在しなくてよい。
図13は、あるソース/ドレインメタライゼーション650を欠いているトランジスタ構造1304の平面図である。太い一点鎖線は、断面図に沿って図14A~図14C、図15A~図15C及び図16A~図16Cとしてさらに提供される面を示す。ソース又はドレインメタライゼーション650がない場合、ソース/ドレインメタライゼーション650に対するピッチ及び/又は限界寸法の制限、及び/又は、他の表側メタライゼーションレベル(例えば、ゲート電極673又はより高いメタライゼーションレベル)が緩和され得る点で有利である。例えば、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法1201(図12)を用いて、第3の端子接続が製造されるまで、ソース又はドレインメタライゼーション650の欠如は、トランジスタ構造1304が操作不可能であることを表し得る。そのような裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーションは、トランジスタ構造に電力レール(例えば、Vcc)を結合してよく、トランジスタ構造の階層の両側に電力及び信号(ゲート電極の電圧)ルーティングを設置する点で有利である。類似の利点は、メモリセル、例えば、SRAM又は他のメモリセルについても可能である。例えば、ビット線、ソース線及び/又はワード線メタライゼーションは、1つのメモリセル階層の両側上にあってよい。特に、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法1201は、(例えば、すべてのデバイス端子を含む)表側から製造されるときに十分に機能的するトランジスタ構造に対して実施されてもよい。そのような実施形態に関して、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法1201は、トランジスタ階層の両側に配置されるインターコネクトトレースにソース/ドレイン・トランジスタ端子を巻きつけるために実施されてよく、ソース/ドレイン・コンタクト抵抗を低減し、及び/又は、トランジスタのソース/ドレイン半導体領域が、少なくとも2つの他の回路ノードに直接ファンアウトされる回路ノードとなることを可能にし得る点で有利である。
工程1210では、少なくとも1つのトランジスタのソース/ドレイン領域の裏側が暴露される。いくつかの実施形態において、トランジスタ構造の他の領域に対して選択的にソースドレイン領域を暴露するために、パターニングされた裏側リセスエッチングが工程1210において実行される。代替的に、ソース/ドレインの位置にあるソース/ドレイン半導体(又は任意の犠牲材料)は、表側から、他のトランジスタ領域よりも大きい深さへ拡張され、工程1210は、他のデバイス領域、例えば、チャネル半導体の露出の前に、ソース/ドレイン半導体(又は他の犠牲材料)を露出するときに停止されるパターニングされない裏側リセスエッチング又はポリッシングを伴ってよい。一旦暴露されると、方法1201は、ソース/ドレイン半導体及び/又はコンタクト・メタライゼーションが、ソース/ドレイン半導体の裏側の上方に堆積される工程1215で完了する。ソース/ドレイン半導体及び/又はコンタクト・メタライゼーションは、例えば、図1の工程125のコンテキストで上述したように、堆積され得る非天然材料のさらなる例である。
図14A、図15A及び図16Aは、いくつかの実施形態に従って、方法1201における工程が実行されるときの図13に示されるA‐A'面に沿うトランジスタ構造1304の断面図を示す。図14B、図15B及び図16Bは、いくつかの実施形態に従って、方法1201における工程が実行されるときの図13に示されるB‐B'面に沿うトランジスタ構造1304の断面図を示す。図14C、図15C及び図16Cは、いくつかの実施形態に従って、方法1201における工程が実行されるときの図13に示されるC‐C'面に沿うトランジスタ構造1304の断面図を示す。
図14A~図14Cは、ドナー基板の表側処理に続く例示的なトランジスタ構造に存在する構造及びホスト基板202に対する接合を示す。図14A~図14Cにさらに示されるように、裏側基板は、任意の技術、例えば、限定されることはないが、方法501(図5)により除去される。図14A~図14Cに示される構造的なフィーチャは、同様の参照番号に関する上記の特性(例えば、図8A~図8C及び図9A~図9C)のいずれかを有してよい。エッチングマスク1410は、裏側基板の除去のときに視認可能な表側トランジスタフィーチャに位置合わせされる。ソース/ドレイン半導体に対するアラインメント640は、正確である必要はなく、最小化又は回避されるゲート電極673と重なる。エッチングマスク1410は、介在層210の一部のみを保護するためのものである。エッチングマスク1410は、例えば、裏側基板の別の介在層であってよい。代替的に、エッチングマスク1410は、キャリア層の除去中、介在層210の露出の後に堆積される誘電体(例えば、SiO、SiNなど)であってよい。さらに他の実施形態において、エッチングマスク1410は、デバイス層215の裏側表面にわたって適用されるソフトマスク(例えば、感光性レジスト)である。保護されていないデバイス半導体領域1411は、次に、材料組成に適していることで知られる任意のウェット及び/又はプラズマエッチング処理を用いてリセスエッチングされる。例えば、エッチングマスクの上方のシリコンに対して選択性を有するドライエッチング及び/又はウェットエッチングは、シリコン半導体サブフィンの一部を選択的に除去し、トランジスタのソース/ドレインを暴露するために使用されてよい。
図14D~図14Fは、ドナー基板の表側処理に続く例示的なトランジスタ構造に存在する構造及びホスト基板202に対する接合を示す。図14D~図14Fにさらに示されるように、裏側基板は、任意の技術、例えば、限定されることはないが、方法501(図5)により除去される。図14D~図14Fに示される構造的なフィーチャは、同様の参照番号に関する上記の特性(例えば、図8A~図8C及び図9A~図9C)のいずれかを有してよい。本実施形態に関して、あるソース又はドレイン半導体640を選択的に暴露するために使用されるエッチングマスクはない。むしろ、表側処理は、チャネルの両側のソース半導体及びドレイン半導体640の2つの領域間のz方向の深さを差別化している。例示的な実施形態において、ソース半導体640は、半導体本体610の高さに実質的に等しい深さを有するが、示されたものより少ない又は大きい深さを有してもよい。
図15A~図15Cは、図14A~図14Cに示される構造の続きである。図15A~図15Cに示されるように、トランジスタ半導体本体610のかなりの部分が、選択的な暴露リセス1540内で除去され、一方で、サブフィンの高さHsfは、エッチングマスク1410により保護されるトランジスタ構造領域内で維持される。選択的な暴露リセス1540は、任意の深さ及び横寸法であってよい。例えば、選択的な暴露リセス1540は、半導体本体610のサブフィン部分(すなわち、介在層210の半導体部分)を完全に除去し、ソース/ドレイン半導体640を露出し得る。他の実施形態において、選択的な暴露リセス1504は、例えば、フィンの一方がPMOS FETを実装することを可能にするとともに、フィンの他方がNMOS FETを実装するために、フィンを2つに分離したフィンに分岐させる裏側フィンの切断として使用されてよい。一方で、表側処理に制限される場合、裏側フィンの分岐とともに、フィンの分岐は通常、フィンのパターニング中の処理の初期におけるものであり、表側処理のすべてを通じて画定される必要があるフィンの端部は存在しない。そのため、表側フィンの処理は、IDゲートパターニングをさらに、単一のフィンにおいて共に製造されたNMOS及びPMOS FETとより良く一致させ得る。裏側暴露のときに、個々のトランジスタは、裏側フィンの切断を通じて描かれてよい。
図15D~図15Fは、図14D~図14Fに示される構造の続きである。介在層210は、例えば、浅いソース/ドレインに対して深いトランジスタのソース/ドレインを選択的に暴露するために、ブランケットポリッシング又はエッチング処理を用いて、除去される。図15D~図15Fに示されるように、ディープソース/ドレイン半導体640は露出され、一方で、維持するサブフィンの高さHsfは、他の領域のトランジスタ構造1304において維持される。
図16A~図16Cは、図15A~図15Cに示される構造の続きである。図16A~図16Cは、p型又はn型の不純物がドープされた裏側ソース/ドレイン半導体1640のエピタキシャル成長又は堆積後のトランジスタ構造1304、及び、裏側ソース/ドレインメタライゼーション1650の後続の堆積を示す。任意のエピタキシャル成長又は堆積処理が、裏側ソース/ドレイン半導体1640を形成するために使用されてよい。例えば、ソース/ドレイン半導体640を形成するために使用される同一のエピタキシャル又は堆積処理は、裏側ソース/ドレイン半導体1640を形成するために使用されてよい。同様に、任意の金属堆積処理は、裏側ソース/ドレインメタライゼーション1650を形成するために使用されてよい。例えば、ソース/ドレインメタライゼーション650を形成するために使用されるものと同一の堆積処理は、裏側ソース/ドレインメタライゼーション1650を形成するために使用されてよい。裏側ソース/ドレイン半導体1640は、半導体本体610の長手方向の長さLより小さい長手方向の長さL、及び、半導体本体610の横方向の長さに実質的に等しい横方向の長さLを有する。したがって、例示的な実施形態において、ソース/ドレイン半導体640は、裏側ソース/ドレイン半導体1640よりも大きい横方向の長さLを有する。同様に、表側及び裏側ソース/ドレイン半導体の長手方向の長さは異なっていてよい。例えば、裏側ソース/ドレイン半導体1640がトランジスタのソースとして動作可能であるいくつかの実施形態において、先端領域(例えば、僅かにドープされたソース半導体)は、トランジスタのドレインとして動作可能するソース/ドレイン半導体640に存在しないことが含まれていてよい。そのような選択的なソースの先端領域は、例えば、不純物がドープされた裏側ソース/ドレイン半導体1640のエピタキシャル成長中に形成され得る。先端のないトランジスタドレインは、例えば、より少ないドレイン誘発バリア低下(DIBL)を示し得、一方で、最も低いソースの抵抗は、先端のドープされたソース半導体に依存し得る。しかしながら、多くの場合、単独の表側処理を通じて、FETのソース/ドレインアーキテクチャにおける非対称性を導入することは難しい。従って、ソース半導体から別々の表側/裏側処理へのドレイン半導体のデカップリング製造は、非対称なソース/ドレインアーキテクチャの形成を容易にし得る。
図16A~図16Cにより表されるいくつかのさらなる実施形態において、裏側メタライゼーションの余分な表層(over berden)は、ソース/ドレイン・コンタクト・メタライゼーションを用いてエッチングマスク1410及び/又は介在層210を再露出させるポリッシング(例えば、CMP)により除去され、その結果、選択的な暴露リセス1540を埋め戻することで閉じ込められる。後続の裏側処理は、少なくともソース/ドレインメタライゼーション1650を電気的に結合する1又は複数の裏側インターコネクトメタライゼーションレベル(不図示)の製造をさらに含んでよい。そのようないくつかの実施形態において、そのような裏側インターコネクトメタライゼーションは、表側インターコネクトメタライゼーションレベルとは異なる組成である。例えば、表側インターコネクトメタライゼーションが、プライマリ銅(例えば、Cuリッチな合金)である場合、裏側メタライゼーションは、銅の含有率が低い(例えばAlリッチな合金である)。表側インターコネクトメタライゼーションが銅の含有率が低い(例えば、Alリッチな合金である)別の例では、裏側メタライゼーションは、プライマリ銅(例えば、Cuリッチな合金)である。裏側インターコネクトメタライゼーションは、表側インターコネクトメタライゼーションの対応するレベルとは大きさ的に異なっていてもよい(例えば、大きくてもよい)。例えば、裏側インターコネクトメタライゼーションがトランジスタ構造に電力を供給するいくつかの実施形態において、裏側メタライゼーションは、より大きな横方向の幅及び/又は縦方向の高さ(例えば、z次元)のラインを有する。より大きい寸法を有する裏側メタライゼーションは、電力レールが裏側メタライゼーションに閉じ込められ、信号線が表側メタライゼーションに閉じ込められるアーキテクチャにとって有利であり得る。より大きい寸法を有する裏側メタライゼーションは、長いバス線又はクロック分配線がデバイス階層の裏側に提供されるアーキテクチャにとっても有利であり得る。
図16D~図16Fは、図15D~図15Fに示される構造の続きである。図16D~図16Fにおいて、トランジスタ構造1304は、ディープソース/ドレイン半導体1650と接触する裏側ソース/ドレインメタライゼーション1650の堆積後を示す。任意の金属堆積処理は、裏側ソース/ドレインメタライゼーション1650を形成するために使用され得る。例えば、ソース/ドレインメタライゼーション650を形成するために使用されるのと同一の堆積処理は、裏側ソース/ドレインメタライゼーション1650を形成するために使用され得る。裏側ソース/ドレインメタライゼーション1650の形成は、例えば、任意の既知のダマシン配線メタライゼーション技術に従う、裏側誘電体1410の形成をさらに伴ってよい。
上記の説明は、裏側メタライゼーション構造のいくつかの例示的な例を提供してきたが、他の構造体が、実質的に同一の技術を用いて製造されてもよい。一般的に、デバイス階層で製造されるデバイスの任意の端子は、裏側メタライゼーション構造を有してよい。例えば、上記で示される裏側ソース及び/又はドレイン・コンタクト・メタライゼーションよりもむしろ(又はそれに加えて)、トランジスタの他の端子が、裏側メタライゼーションにより相互接続されてよい。例えば、3つの端子デバイスにおいて、第3の端子(例えば、FET内のゲート電極又はバイポーラ接合トランジスタ内のベース)は、裏側メタライゼーション構造を有してよい。同様に、4つの端子デバイスにおいて、第4の端子(例えば、浮遊本体タップ又はフィールドプレート)は、裏側メタライゼーション構造を有してよい。
従って、トランジスタ構造の裏側暴露は、トランジスタのゲート電極及び/又はチャネル半導体を露出することをさらに有してよい。いくつかの実施形態において、裏側ゲート電極処理は、それにより、ラップアラウンドゲート電極を有するナノワイヤトランジスタを形成するゲート電極を用いてトランジスタチャネルを完全に包囲するために使用される。さらに、又は、代替的に、露出されたゲート電極は、トランジスタ構造を含む階層の裏側上にさらに製造される裏側インターコネクトに結合されてよい。いくつかの他の実施形態において、裏側ゲート電極処理は、表側処理中に製造された犠牲ゲートマンドレル又はプレースホルダを置き換える。図17は、いくつかの実施形態に従う、裏側トランジスタのゲート電極を形成するための方法を示すフロー図1701である。方法1701は、わずか数百ナノメートルの厚さに過ぎない可能性があるトランジスタ構造の階層の裏側処理をさらに例示する。方法1701は、入力1705として、トランジスタ構造を含むドナー・ホスト基板アセンブリから始まる。ドナー基板は、上記のフィーチャ、例えば、限定されることはないが、介在層及びキャリア層のうちの1又は複数を有してよい。しかしながら、特に、キャリア層及び/又は介在層は、方法1701を実行するために必要とされない。
トランジスタ構造は、入力1705として受容されるように完全に動作可能であってよく、例えば、図6に示されるような3つの端子を含む。代替的に、裏側処理が完了するまで、トランジスタ構造が動作可能とならないように、1又は複数の端子(例えば、ゲート電極)は、存在しなくてよい。工程1710では、トランジスタのゲート電極の裏側、犠牲ゲートマンドレル及び/又はトランジスタチャネル半導体は、裏側暴露処理中に露出される。いくつかの実施形態において、工程1710で実行される裏側暴露処理は、方法501(図5)の工程のうちの1又は複数を含む。裏側暴露処理は、例えば、少なくともドナー基板(例えば、キャリア層)の一部を除去することにより、半導体チャネル領域を暴露してよい。工程1715では、ゲートスタックは、デバイス階層の裏側から形成される。ゲートスタックは、半導体チャネルの裏側上に形成されてよく、チャネル半導体が、どの程度、工程1710で暴露されるかに依存して、半導体チャネルの表側上に形成されてもよい。方法1701は、トランジスタチャネル半導体の裏側の上方に配置されるゲート電極材料を含むトランジスタ構造の階層の出力で終了する。
いくつかの実施形態において、方法1701は、1又は複数の表側処理工程により容易される。例えば、表側処理中、トランジスタのゲート電極の深さ(例えば、z次元の位置)、又は、ゲートのマンドレルは、1又は複数のソース/ドレイン領域を暴露することなく裏側からゲート電極又はゲートのマンドレルを暴露することを容易にするために、1又は複数のトランジスタのソース/ドレイン領域よりも大きくてよい。そのような表側処理と裏側処理との相乗効果を示すために、図18A~図26Aは、いくつかの例示的な実施形態に従って、例示的なトランジスタ構造604(図6)の平面図内の太い一点鎖線により示されるA‐A'面に沿う断面図を提供する。図18B~図26Bは、いくつかの例示的な実施形態に従って、表側トランジスタ処理が実行されるときの例示的なトランジスタ構造604の平面図内の太い一点鎖線により示されるB‐B'面に沿う断面図を示す。示される表側トランジスタ処理は、例えば、本明細書の他の場所で説明される裏側処理の実施形態の上流で実行されてよい。例示では、半導体デバイス層は、アディティブ処理を用いて形成されるトランジスタのフィンである。代替的に、半導体層は、任意のサブトラクティブ処理(例えば、連続的な半導体デバイス層のパターニング)により形成されたトランジスタのフィンであってよい。
図18A及び図18Bに示される実施形態において、トレンチ1809は、フィールド分離誘電体680に異方的にエッチングされ、トレンチ1809の底部において、裏側基板605の一部を露出する。いくつかの実施形態において、裏側基板605の露出された一部は、示されるように、リセスエッチングである。いくつかの実施形態において、トレンチ1809は、10と200nmとの間のCDを有する。しかしながら、トレンチ材料のz方向の厚さ及びCDは、所望のトランジスタの通電幅に対して選択される予め決定されたフィンの高さに対して機能するアスペクト比を維持するために必要に応じて、スケーリングされてよい。図19A、図19Bにさらに示されるように、サブフィン半導体1810は、トレンチ1809を部分的に埋め戻すために、基板シード表面に対して選択的にエピタキシャル成長される。いくつかの例示的な実施形態、サブフィン半導体1810は、裏側基板605の後続の除去に使用される介在層(例えば、図3Aの210)として機能をさらに果たす。半導体デバイス層215は、トレンチ内に依然として含まれるサブフィンの表面から延びる半導体本体610として、サブフィンのシード表面からさらにエピタキシャル成長される。デバイス層215及びサブフィン半導体1810は、同一又は異なる組成であってよい。例えば、デバイス層215及びサブフィン半導体1810の両方は、シリコンであってよい。代替的に、デバイス層215は、第1の半導体合金であってよく、サブフィン半導体1810は、第2の半導体合金である。
エピタキシャルフィン成長の後に、包囲フィールド分離誘電体680は、図20Aにさらに描かれているように、デバイス層215の下の所望のレベルまで選択的に窪みが作られてよい。例示的な実施形態において、フィールド分離誘電体680は、隆起したソース/ドレイン再成長の準備において、デバイス層215を完全に露出させるのに十分に窪んでいる。表側処理におけるこの時点で、サブフィンの高さHsfのサブフィン半導体1810は、フィールド分離誘電体680内に埋め込まれている。特に、フィンパターニングが裏側基板605にエッチングされるサブトラクティブなパターニングプロセスでは、図20Aに示されるのと実質的に同じフィン構造に到達し得る。そのような実施形態に関して、デバイス層215及びサブフィン半導体1810は、同じ半導体材料(例えば、両方ともシリコン)又は異なる半導体材料であってよい。
図21A及び図21Bに示されるように、犠牲ゲート材料2173(例えば、ポリシリコン)を含むゲートスタックのマンドレルは、任意の従来技術を用いて、デバイス層215の上方に形成される。犠牲ゲート材料2173は、デバイス層215の少なくとも2つの側壁に形成されてよく、フィールド分離誘電体680にランディング(landing)する。スペーサ誘電体671は、任意の従来技術を用いて、形成されてもよい。図21Aに描かれている実施形態に関して、犠牲ゲート材料2173は、任意のサブフィン材料110の側壁の一部を覆わないが、それは、分離誘電体のリセスに応じてよい。ゲートのマンドレルの形成の後に、ドープされた半導体(又は任意の犠牲材料)が、半導体デバイス層215のソース/ドレイン端部に形成される。いくつかの実施形態において、隆起したソース/ドレイン領域は、半導体デバイス層215に適している任意の組成から成る高濃度にドープされた半導体を堆積することにより形成される。図22A、図22Bに示される例示的な実施形態において、エピタキシャル処理は、単結晶び「隆起したソース/ドレイン半導体640を形成するために使用される。図23A、図23Bにさらに描かれているように、追加のフィールド分離誘電体680が、次に、隆起したソース/ドレイン半導体640の上方に堆積され、ゲートのマンドレルを用いて平坦化される。
図24A、図24Bにおいて、犠牲ゲート材料2173は、包囲フィールド分離誘電体680に対して選択的に除去され、半導体デバイス層215を露出する。任意の従来技術は、犠牲ゲートマンドレルを除去するために用いられてよい。ゲートマンドレル除去の後に、露出させたフィールド分離誘電体680は、さらに、他の領域に対して選択的に窪みが作られてよく、それにより、リセス2470内のサブフィン半導体1810の少なくとも一部をさらに露出させる。示されるように、フィールド分離誘電体680は、窪みが作られた後、フィールド分離誘電体680内に埋め込まれたサブフィン半導体1810の一部は、トランジスタ半導体チャネルの領域内で、Hsf,2に低減される。図25A、図25Bにさらに示されるように、ゲート誘電体845及びゲート電極673を含むゲートスタックは、リセス2470内に堆積される。置換ゲートアプリケーションに適していることで知られる任意のゲートスタックの埋め戻し処理が実行されてよい。
ゲート電極の深さがデバイス層の深さより浅く延びるトランジスタに関して、例示的なトランジスタ構造604(図6)の平面図において太い一点鎖線により示されるA‐A'、B‐B'及びC‐C'面に沿う断面図を示す図26A~図26C、図27A~図27C及び図28A~図28Cにさらに示されるように、ゲート電極は、(例えば、方法1101の実施中に)裏側から暴露されてよい。そのような技術は、例えば、本明細書の他の場所で説明されるように、1又は複数のソース/ドレイン領域の暴露とさらに組み合わせられてよい。
図26A~図26Cは、表側セル表面の上方に配置される表側積層体690をさらに示す。表側積層体690は、制限なく変化し得、例えば、任意の数のバックエンドインターコネクトメタライゼーションレベルを含み得るトランジスタ構造の階層の一部として破線で示される。ホスト基板202は、例えば、本明細書の他の場所で説明される特性のいずれかを有してよい。示されるように、ホスト基板202は、例えば、熱圧着接合により、表側積層体690の表側表面に接合される。図26A~図26Cにさらに示されるように、ドナー基板の少なくとも一部は、除去されており、サブフィン半導体1810の裏側表面2611を露出する。ドナー基板は、任意の技術、例えば、限定されることはないが、方法501(図5)により薄く及び/又は除去されてよい。方法501において、例えば、介在層は、サブフィン半導体1810及びフィールド分離誘電体680のうちの1又は複数であってよい。代替的に、方法501で用いられる介在層は、裏側基板605の最も上の層であってもよい。
図27A~図27Cは、ゲート電極673の裏側2712の暴露の後のトランジスタ構造604を示す。ゲート電極673の裏側を暴露するために、サブフィン半導体1810の部分が、例えば、工程525(図5)について上述したように、ポリッシング(例えば、CMP)、及び/又は、ウェット及び/又はドライエッチング処理を用いてリセスエッチングされてよい。そのようなエッチング処理は、マスクされてもされなくてもよい。誘電体よりも高い半導体(例えば、Si)のエッチング速度を有する選択性の高い(例えば、200~300:1)CMPスラリーが使用されるいくつかの例示的な実施形態において、デバイス層215の裏側ポリッシュは、ゲート誘電体845を露出するときに停止されてよい。任意の量のオーバーエッチ(オーバーポリッシュ)が実行されてよい。いくつかの実施形態において、トランジスタ構造内の1又は複数の半導体本体に対してサブフィン全体が、裏側暴露工程中に除去され得る。図27A~図27Cに示される例示的な実施形態において、ソース/ドレイン半導体640が暴露され、かつ、ソース/ドレイン半導体640を露出する前に停止される暴露処理の前に、ゲート電極673は暴露される。
いくつかの実施形態に関して、裏側メタライゼーションは、ゲート電極673の裏側表面の上方に堆積される。例えば、低電力論理状態信号は、ゲート電極673に接触する裏側メタライゼーションを通じてICの他のトランジスタ構造からトランジスタ構造604に通過され得る。従って、裏側メタライゼーションは、トランジスタ構造間のソース/ドレイン及びゲート電極のいずれか一方又は両方の相互接続を提供してよい。いくつかの他の実施形態において、ゲート電極673は、ゲート電極が、半導体本体のすべての面を包囲するナノワイヤ又はゲートオールアラウンド(GaA)トランジスタを形成するために、裏側から増大される。そのような実施形態に関して、半導体デバイス層215の裏側は、暴露され、裏側ゲートスタックは、半導体デバイス層215の裏側の上方に堆積され、ゲート電極673と相互接続される。
図28A~図28Cは、裏側ゲート誘電体2845及び裏側ゲート電極2873を含む裏側ゲートスタックの堆積後のトランジスタ構造604をさらに示す。この例示的な実施形態に示されるように、裏側ゲートスタックは、半導体デバイス層215の暴露された表面上に直接堆積される。デバイス層215を暴露するために、サブフィン半導体1810の任意の残りの部分は、(例えば、ウェット又はドライ化学エッチングを用いて)選択的にエッチングされてよく、図28A~図28Cに示されるように、ゲート電極673及び/又はフィールド分離誘電体680の裏側表面に対する半導体フィンの裏側表面に窪みを作る。サブフィン半導体1810が、デバイス層215とは異なる組成を有する実施形態に関して、リセスエッチングは、デバイス層215に対してさらに選択的であってよく、デバイス層215を露出するときに、事実上停止する。サブフィン半導体1810がデバイス層215と同一の組成を有してよく(例えば、両方がデバイス層からパターニングされたフィンのシリコンであり)、リセスエッチングは、予め定められた固定の持続時間であってよい、又は、不純物ドーパント界面で停止されてよい。
裏側ゲート誘電体2845は、暴露されたデバイス層215の上方又は暴露されたデバイス層215上に堆積される。裏側ゲート誘電体2845は、トランジスタ半導体領域の裏側の上方に堆積された非天然材料の別の例である。裏側ゲート誘電体2845は、電界効果トランジスタに適していることで知られる任意の誘電材料であってよい。いくつかの例示的な実施形態において、裏側ゲート誘電体は、ゲート誘電体845に関して本明細書の他の場所で説明される材料のいずれかであり、同一の材料であってよい。
裏側ゲート誘電体2845の上方に、及び/又は、ゲート電極673の暴露された表面上に直接、堆積され得る、裏側ゲート電極2873は、トランジスタ半導体領域の裏側の上方に堆積される非天然材料の別の例である。裏側ゲート電極2873は、ゲート電界効果トランジスタに適していることで知られる任意のドープされた半導体又は金属であってよい。いくつかの例示的な実施形態において、裏側ゲート電極2873は、ゲート電極673に関して本明細書の他の場所で説明される材料のいずれかであり、同一の材料であってよい。いくつかのナノワイヤトランジスタの実施形態に関して、裏側ゲート電極2873は、裏側ゲート誘電体2845の上方に堆積され、ゲート電極673の包囲裏側表面で(例えば、CMPにより)平坦化されてよい。いくつかの実施形態において、後続の裏側メタライゼーション層(不図示)は、ゲート電極673と裏側ゲート電極2873との間の相互接続及び/又は他のトランジスタ構造内に配置された他のICノードとして、ゲート電極673及び裏側ゲート電極2873と直接接触した状態で堆積される。共に同じ電位に結びつけられているゲート電極2873及び673を用いて、FETのチャネル領域は、十分に空乏化されてよい。
代替的な実施形態において、表側ゲート電極は、裏側ゲート電極から電気的に独立している。そのような独立した裏側ゲート電極は、予め定められたトランジスタの閾値電圧(V)とそれぞれ関連付られた複数の予め定められた電圧レベルのうちの1つを制御可能である。異なる閾値電圧に設定する能力を用いて、トランジスタは、マルチステートデバイス(つまり、オン/オフより多くの状態を有する)になる。そのようないくつかの実施形態において、裏側ゲート電極の電位は、(表側)ゲート電極にも接触していない裏側インターコネクトメタライゼーションを通じて制御される。同様に、表側ゲート電極は、例えば、表側積層体内に配置される表側インターコネクトメタライゼーションにより制御されてよく、それにより、4つの端子デバイスを実装する。
そのようなダブルゲートトランジスタ構造のアーキテクチャは、方法1701に従って容易に製造され得る。例えば、図18A~図24Aに示される表側処理をさらに参照すると、図24Aに示されるゲートマンドレル除去に従って露出させたフィールド分離誘電体680のリセス処理は、省略されてよく、それにより、リセス2470内のサブフィン半導体1810についての任意の露出を回避する。そのような実施形態に関して、ゲート電極673は、次に、図25Aに示される方式でサブフィン半導体1810の側壁に沿って延びていない。むしろ、ゲート電極673の深さは、デバイス層215及びサブフィン半導体1810の界面とともに実質的に平坦であり、次に、サブフィン半導体1810は、ゲート電極673の裏側を露出させることなく、予め定められた量により、選択的に窪みが作られ又はポリッシングされてよい。図28D、図28E及び図28Fにさらに示されるように、例えば、サブフィン半導体1810は、フィールド分離誘電体680の裏側から選択的に窪みが作られている。結果として生じる裏側リセスは、ゲートスタック(裏側ゲート誘電体2845及びゲート電極2873)とともに埋め戻される。次に、裏側ゲート電極2873と直接接触した状態で堆積される後続の裏側メタライゼーション層(不図示)は、ゲート電極673から電気的に分離される。
いくつかの実施形態において、トランジスタのゲートマンドレルの裏側が暴露される。そのような実施形態に関して、ゲートのマンドレルは、例えば、任意の既知の技術を用いる表側トランジスタ処理を用いて製造されてよい。次に、マンドレルは、後に露出され、裏側処理中に、恒久的なゲート電極と置き換えられてよい。従って、裏側処理の性能は、1又は複数のトランジスタデバイス領域の形成を、例えば、表側インターコネクトメタライゼーションレベル及び層間誘電体(ILD)が形成された後まで遅延させるために、表側処理工程に関して実施されてよい。したがって、より高い温度処理が、温度感知処理の前に実施されてよく、トランジスタ構造の熱履歴を潜在的に増加させる。
ゲートのマンドレルの深さが、デバイス層の深さより浅く延びるトランジスタに関して、例示的なトランジスタ構造604(図6)の平面図において太い一点鎖線により示されるA‐A'、B‐B'及びC‐C'面に沿う断面図を示す図29A~図29C、図30A~図31C及び図33A~図33Cにさらに示されるように、ゲートのマンドレルは、(例えば、方法1101の実施中に)裏側から暴露されてよい。図29A~図29Cに示されるトランジスタ構造は、図27A~図27Cに示されるこれらと実質的に同じである。従って、図29A~図29Cに示されるトランジスタ構造は、ゲート電極673及び/又はゲート誘電体845は、セカンダリマンドレルとして後に置き換えられるという例外とともに、図18A~図25Aに関して説明されるように、実質的に、表側処理を用いて製造されてよい。そのため、ゲート電極673及び/又はゲート誘電体845は、半導体デバイス層215に対して優先的エッチングされるよう選択される組成を有してよい。例えば、ゲート電極673は、犠牲ゲート材料2173(例えば、ポリシリコン)と同一の組成を有してよく、ここで、半導体デバイス層215は、シリコン以外(例えば、SiGe、III-V又はIII-N)である。代替的に、半導体デバイス層215がシリコンである場合、ゲート電極673は、別の多結晶半導体、例えば、限定されないが、SiGe又はGeであってよい。例えば、ゲート誘電体845は、犠牲でもある場合、二酸化ケイ素であってよい。例えば、ゲート誘電体845は、犠牲はない場合、high-k材料、例えば上記のこれらのいずれかであってよい。
図29A~図29Cは、(犠牲)ゲート電極673の裏側2912の暴露後のトランジスタ構造604を示す。サブフィン半導体1810の部分は、例えば、工程525(図5)に関して上述したように、ポリッシング(例えば、CMP)、及び/又は、ウェット及び/又はドライエッチング処理を用いてリセスエッチングされてよい。誘電体よりも、半導体(例えば、Si)の高いエッチング速度を有する選択性の高い(例えば、200~300:1)CMPスラリーが使用されるいくつかの例示的な実施形態において、裏側ポリッシュは、(犠牲)ゲート誘電体845を露出するときに、停止されてよい。ドライ又はウェット半導体エッチングが実行されるいくつかの代替的な実施形態において、エッチングは、ゲート誘電体845を露出するときに停止されてよい。任意の量のオーバーエッチ(オーバーポリッシュ)が実行されてよい。いくつかの実施形態において、トランジスタ構造内の1又は複数の半導体本体に対してサブフィン全体が、裏側暴露工程中に除去される。示される例示的な実施形態において、ソース/ドレイン半導体640が暴露され、かつ、ソース/ドレイン半導体640を露出する前に、暴露処理が停止され得る前に、ゲート電極673は暴露され得る。
ゲート電極673は、次に、選択的なエッチング処理を用いて除去され、図30A~図30Cに示されるボイド3040を形成する。(犠牲)ゲート電極673をエッチングすることで知られる任意のエッチング処理が使用されてよい。例えば、ポリシリコンエッチャント、SiGeエッチャント又はGeエッチャントは、ゲート電極673を等方的に除去するために使用されてよい。除去の後に、半導体デバイス層215の露出面は、次に、恒久的なゲート電極積層体で覆われてよい。図31A~図31Cにさらに示されるように、裏側ゲートスタックは、半導体デバイス層215の暴露された表面上に直接堆積される。デバイス層215を十分に暴露するために、サブフィン半導体1810の任意の残りの部分が、(例えば、ウェット又はドライ化学エッチングを用いて)選択的にエッチングされてよく、フィールド分離誘電体680の裏側表面に対する半導体フィンの裏側表面に窪みを作る。サブフィン半導体1810が、デバイス層215とは異なる組成を有する実施形態に関して、リセスエッチングは、デバイス層215に対してさらに選択的であってよく、デバイス層215を露出したときに事実上停止する。サブフィン半導体1810がデバイス層215と同一の組成を有する(例えば、両方が、デバイス層からパターニングされたフィンから成るシリコンである)実施形態に関して、リセスエッチングは、予め定められた固定の持続時間であってよい。裏側ゲート誘電体2845及び裏側ゲート電極2873は、次に、上述したように、実質的に、暴露されたデバイス層215の上方又は暴露されたデバイス層215上に堆積される。
特に、同じゲートスタック材料が表側及び裏側ゲートスタックの両方に使用される場合でさえも、様々な構造的なフィーチャが、表側から排他的に製造されるナノワイヤトランジスタ構造を、本明細書のいくつかの実施形態に従う裏側処理を用いて製造されるナノワイヤトランジスタ構造から差別化してよい。例えば、表側ゲート誘電体845により接触されるチャネル領域を超えて延びるデバイス層215の部分の上方の裏側ゲート誘電体2845の存在は、裏側ゲートスタックの堆積及びゲートスタックの表側のパターニングに使用されるこれら以外の構造に対してセルフアラインメントされるパターニングプロセスを示す。同様に、表側ゲート電極673に対する裏側ゲート電極2873の規模又は位置の差は、裏側製造プロセスを示す。例えば、図28A及び図31Aの両方に示されるように、裏側ゲート電極2873は、ゲート電極673の長手方向の長さより大きいが略等しい又はほんの少し小さい裏側表面半導体デバイス層215の長手方向の長さに延びており、半導体デバイス層215の長手方向の長さのフィンの、リセスとしての長Lfは、半導体本体に対してセルフアラインメントされる。
デバイス階層の暴露された部分の裏側処理は、表側の製造プロセス中に形成される他のトランジスタ構造のデプロセッシング及び/又は置換を含んでよい。そのようなデプロセッシング中に、表側処理中に堆積された1又は複数の材料又は形成された構造は、そのような材料及び/又は一時的な構造又はマンドレルが、もはやデバイス製造に必要とされなくなった後、及び/又は、デバイスのコンポーネントとしてのこれらの保持が、デバイス動作にとって最適ではなくなった後の裏側処理中に除去されてよい。例えば、側壁スペーサ誘電体は、多くの場合、トランジスタフィーチャのセルフアラインメントを容易にするために、及び/又は、隣接するフィーチャ間の電気的な短絡を防止するために、表側トランジスタ処理中に使用される。しかしながら、そのような側壁スペーサ誘電体は、例えば、寄生容量に寄与するデバイス動作に対して最適ではないかもしれない。したがって、裏側デプロセッシングは、デバイス構造からそのようなスペーサ誘電体を後で除去し、デバイス動作を向上させ得る。一旦除去されると、スペーサ誘電体は、デバイス動作にとってより好ましい別の材料と置き換えられてよい、又は、スペーサ誘電体が除去されたボイドは、トランジスタ階層内のアーティファクトとして保持されてもよい。いくつかの有利な実施形態において、表側処理に使用されるスペーサ誘電体は、裏側処理中に、スペーサ誘電体の比誘電率より低い比誘電率を有する別の誘電体と置き換えられる。多くの場合、low‐k材料は、後続の処理、例えば、プラズマエッチングに対して、露出したときの損傷の影響を受けやすい。そのため、高いhigh‐kな材料は、表側の製造中のスペーサ誘電体として有利であり得る。しかしながら、この高いhigh‐kな材料は、トランジスタ階層内に保持される場合、工程中にデバイスの寄生容量を増加させる。いくつかの実施形態に従う裏側暴露の後に、高いhigh‐kな材料がlow‐k材料と置き換えられる。埋め戻されたlow‐k材料は、次に、フロントエンド処理に対する露出に関連付られた任意の損傷を容認する。
図32は、いくつかの実施形態に従う、側壁スペーサ誘電体の除去を含む裏側処理方法を示すフロー図3201である。方法3201は、わずか数百ナノメートルの厚さに過ぎない可能性があるデバイス(例えば、トランジスタ構造)の階層の裏側処理をさらに例示する。方法3201は、入力3205として、デバイス層を含むドナー・ホスト基板アセンブリから始まる。ドナー基板は、上記のフィーチャ、例えば、限定されることはないが、介在層及びキャリア層のうちの1又は複数を有してよい。しかしながら、特に、キャリア層及び/又は介在層は、方法3201を実行するために必要とされない。工程3210では、デバイス層又は介在層の裏側は、裏側暴露処理中に露出される。いくつかの実施形態において、工程3210で実行される裏側暴露処理は、方法501(図5)の工程のうちの1又は複数を含む。裏側暴露処理は、例えば、少なくともドナー基板(例えば、キャリア層)の一部を除去することにより、デバイス層又は介在層を暴露してよい。
図33A~図33C、図34A~図34C、図35A~図35C及び図36A~図36Cは、例示的なトランジスタ構造604(図6)の平面図における太い一点鎖線により示されるA‐A'、B‐B'及びC‐C'面に沿う断面図を示す。図33A~図33Cに示されるトランジスタ構造は、半導体本体610の裏側1012を露出する裏側暴露処理の後の図10A~図10Cに示されるトランジスタ構造と実質的に同じである。いくつかの実施形態において、図33A~図33Cに示されるトランジスタ構造は、FinFETを製造するのに適していることで知られる任意の表側処理を用いて製造されてよい。いくつかの実施形態において、一旦、半導体本体610が、任意の既知の技術により形成されると、図20A~図25Aのコンテキストにおいて上述したように、表側処理が始まる。
図33A~図33Cは、誘電体側壁スペーサがいくつかの例示的な実施形態について見つけられ得る位置をさらに示す。図33Aにおいて、スペーサ誘電体671は、ゲート電極673の横断方向の端部に配置される。そのような側壁スペーサは、(犠牲)ゲート電極が十分にパターニングされた後にスペーサ誘電体が堆積される実施形態において形成され得る。例えば、犠牲ゲート材料2173の端部がパターニングされた後(図21A、B)にスペーサ誘電体が堆積される。代替的に、側壁スペーサ誘電体は、そのようなパターニングの前に堆積され、スペーサ誘電体671は、図33Bに示されるゲート電極673の長手方向の側壁に存在するのみであってよい。スペーサ誘電体は、トランジスタチャネルとソース/ドレイン領域との間の境界をデマーク(demark)するゲート電極の側壁に沿うセルフアラインメントされたスペーサを形成する目的で堆積されてよい。しかしながら、半導体本体における非平面性に起因して、セルフアラインメントされたスペーサは、表側処理のアーティファクトとして半導体本体の1又は複数の側壁に沿って形成されてもよい。一例として、図33Cは、半導体本体610の側壁に沿ってさらに配置されたスペーサ誘電体671を示す。
図34A~図34Cは、裏側暴露処理がどのように、十分に介在層を除去し、半導体本体610の裏側を露出することを継続し得るかをさらに示す。特に、そのような除去は、裏側の部分のみが暴露されるように、マスク処理及び/又は他の選択的な技術を用いてよい。図34A~図34Cに示される構造に到達するために、例えば、半導体本体610の一部(サブフィン)を通じて裏側エッチング又はCMPポリッシュが、予め定められた時間の間実行され、又は、ソース/ドレイン半導体640、スペーサ誘電体671、ゲート誘電体845、ゲート電極673のうちの1又は複数を検出したときに終了してよい。図34A~図34Cに示されるように、デバイス層の暴露はまた、ゲート電極673とソース/ドレイン半導体640及び/又はソース/ドレインメタライゼーション650tの間に配置されるスペーサ誘電体671を暴露する。
図32に戻り、方法3201は、工程3220において、露出されたスペーサ誘電体の少なくとも一部におけるエッチングを始める。理想的には、スペーサ誘電体のエッチングは、目標の誘電体材料に対して高度選択的であり、また、裏側で露出包囲半導体、誘電体及び/又はメタライゼーションに大きな影響を与えない。いくつかの実施形態において、誘電体スペーサは、等方性エッチング(例えば、ウェット化学エッチング又はプラズマエッチング)を用いて除去される。例えば、ウェット化学エッチングは、スペーサ誘電体における窒化ケイ素(SiN、炭素ドープされたシリコン(SiC)又は炭素ドープされたシリコン窒化物(SiCN)のうちの1又は複数を含むスペーサ誘電体を等方的に除去してよい。図35A~図35Cに示される例において、スペーサ誘電体671の除去は、ゲート電極673及びゲート誘電体845を含むゲートスタックに対して選択的であり、スペーサリセス3512を形成する。
図32を続けると、工程3220では、誘電体スペーサをデプロセッシングすることから形成されたリセスは、別の材料(例えば、low-k誘電体)で埋め戻されてよい、又は、代替的に、1又は複数のエアギャップ又はボイドをトランジスタ階層に組み込むために、任意の適切な誘電材料を用いて塞がれてもよい。いくつかの有利な実施形態において、工程3230で堆積される誘電体材料は、工程3220で除去された誘電体材料より低い比誘電率を有する。そのようないくつかの実施形態において、工程3230で堆積される誘電体材料は、4.5未満、有利には、3.9未満及び、さらに有利には、3.5未満の比誘電率を有する。ボイドが塞がれるいくつかの実施形態に関して、誘電体材料は、任意の非コンフォーマルな堆積技術、例えば、限定されないが、物理気相成長(スパッタ堆積)又はいくつかの化学気相堆積を用いて堆積されてよい。十分に高いアスペクト比を有するボイドは、次に、非コンフォーマルな誘電体材料により封止される。
図36A~図36Cに示される例において、裏側誘電体3671は、スペーサリセス3512(図35A~図35C)を埋め戻す。裏側誘電体3671は、比誘電率が低い(例えば、約4.5より小さい)ことで知られる任意の材料でってよい。材料例は、SiOC、SiOCH、HSQ又はMSQを含む。堆積技術は、選択された材料、例えば、限定されることはないが、化学気相堆積(CVD)及びスピン工程を用いてリセスを埋め戻すのに適していることで知られている可能性がある。図35A~図35Cに示される例において、裏側誘電体3671は、半導体本体610及びソース/ドレイン半導体640の裏側表面も覆う。必要に応じて、裏側誘電体3671は、半導体本体610の裏側表面と共に後で平坦化されてよい。
図37A~図37Cに示される別の例において、裏側誘電体3671は、高いアスペクト比のスペーサリセス3512(図35A~図35C)を埋め戻すのに不十分コンフォマリティを有するが、ボイド3771を形成するためにリセスの開口を塞ぐ。ボイド3771は、次に、トランジスタ構造604の構造的なフィーチャとして恒久的に保持されてよい。方法3201(図32)は、次に、例えば、ゲート電極及び/又は半導体本体など1又は複数のトランジスタ構造を包囲するlow-kスペーサ及び/又はエアギャップを有するデバイス構造を含む出力3240で実質的に完了する。
任意の表側デバイス構造は、誘電体スペーサ交換のコンテキストで上述したように、実質的に、除去され及び/又は置き換えられてよい。デバイス製造フローにおいて、裏側処理が実行されることに依存して、デバイス層の任意の一部(例えば、トランジスタチャネル領域)又は端子(例えば、トランジスタのゲート電極又はトランジスタのソース/ドレイン半導体及び/又はメタライゼーション)に関する犠牲プレースホルダは、裏側処理中に露出されてよく、包囲構造から少なくとも部分的に選択的に除去され、適切な置換材料で埋め戻されてよい。例についてのいくつかの実施形態において、犠牲デバイス端子材料(例えば、任意の適切な誘電体)は、裏側処理中のその後続の選択的除去を容易にするために、表側処理中に形成されてよい。一旦除去されると、端子半導体(例えば、トランジスタのソース/ドレイン半導体及び/又はメタライゼーション(例えば、トランジスタのソース/ドレイン・コンタクト・メタライゼーション))は、結果として生じるリセス内に堆積されてよい。表側のデバイス処理は、次に、様々な構造が裏側処理中にこれらの後続の露出を容易にするために製造されるパラダイムに従う。例えば、表側処理中、裏側から除去される犠牲構造の深さ(z方向の高さ)は、犠牲構造は、裏側暴露処理中に前もって露出され、次に、選択的に置き換えられ得るように、裏側を通じて除去されない非犠牲構造よりも深くされてよい。
従って、本明細書の他の場所で説明される表側構造(例えば、トランジスタのゲート電極、ソース/ドレイン・コンタクト・メタライゼーションなど)のいずれかは、裏側処理中に犠牲となり、最終的に置き換えられてよい。しかしながら、裏側処理中に様々な構造の後続の露出を容易にするために、表側処理中に製造されるこれらは、犠牲である必要はない。表側処理中、裏側を通じて電気的に接触される非犠牲構造(例えば、ソース又はドレイン半導体、ゲート電極又はソース/ドレイン・コンタクト・メタライゼーション)の深さ(z方向の高さ)は、裏側を通じて接触される別の非犠牲構造(例えば、ソース又はドレイン半導体、ゲート電極又はソース/ドレイン・コンタクト・メタライゼーション)よりも深くされてよい。裏側暴露処理中、深い構造は、浅い構造の前に露出される。従って、本明細書の他の場所で説明される表側構造(例えば、トランジスタのゲート電極、ソース/ドレイン半導体又はコンタクト・メタライゼーションなど)のいずれかは、犠牲であり、裏側処理中に最終的に置き換えられてよい、又は、非犠牲であり、最終的に裏側処理中に接触されてよい。
特に、上記の裏側暴露技術及びデバイスアーキテクチャのいずれかは、ウェハエリア全体にわたって包括的に実装されてよい、又は、ウェハ上の領域のサブセットに対して選択的に実装されてもよい。いくつかの実施形態において、マスク型暴露技術は、非プレーナ型論理トランジスタ構造の領域に対して選択的である非プレーナ型パワートランジスタ構造の領域を暴露するために使用されてもよく、また、その逆も同様である。さらに、選択的な暴露処理は、(例えば、セル間ベース上の)単一のデバイス構造内にあってよい、(例えば、セル内ベース上の)複数デバイス構造にわたっていてよい、又は、任意の領域ベースであってよい。裏側暴露処理のデバイスレベルの選択性は、例えば、上述したように、恒久的な接合及びホスト-ドナー基板アーキテクチャの剛性により容易にされる。裏側暴露処理のデバイスレベルの選択性はまた、例えば、上記のように、高い選択的な暴露技術の使用により容易にされる。そのような選択的な暴露処理は、論理トランジスタ及びパワートランジスタ間又はトランジスタの任意の他の分類(例えば、RF及び論理、メモリアクセストランジスタ及び論理、プレーナ型トランジスタ及び非プレーナ型トランジスタなど)間の差別化を提供してよい。選択的な暴露技術をさらに示す例示的な実施形態が、上記で導入された両面トランジスタのアーキテクチャの一部のコンテキストにおいて以下に説明される。これら同一の技術は、製造基板(例えば、ウェハ)の他の領域に対して選択的に一部の領域内の積層された表側/裏側デバイスを製造するために、同様に適用され得る。
図38Aは、いくつかの実施形態に従う裏側暴露方法を示すフロー図3801である。方法3801は、例えば、キャリア層、介在層(もし存在すれば)、及び/又は、ドナー・ホスト基板アセンブリのデバイス層の一部の少なくとも一部を除去して、裏側処理のために、デバイス層又はデバイス領域を選択的に暴露するために使用されてよい。デバイス領域は、任意の適切な材料、例えば、半導体、金属又は誘電体であってよい。本明細書の他の場所で説明されるように、デバイス領域の暴露は、デバイス領域への裏側の接触、デバイス領域又はこれらの一部を除去するために裏側アクセスなどを提供してよい。
図38Aに示されるように、方法3801は、工程3805において、ドナー・ホスト基板アセンブリの入力から始まる。いくつかの実施形態においては、工程3805において受容されるドナー・ホスト基板アセンブリは、ドナー・ホスト基板アセンブリ203である(図3Bを参照されたい)。しかしながら、工程3805で受容されるドナー・ホスト基板アセンブリは、本明細書で説明される任意の適切なドナー・ホスト基板アセンブリであってよい。
ドナー・ホスト基板アセンブリは、任意の適切な構造を含んでよい。実施形態において、方法3801は、集積回路を製造するための技術を提供し、ドナー・ホスト基板アセンブリは、裏側層の上方に表側デバイス層(例えば、半導体デバイス層)を有する基板を含む。デバイス層は、第1のデバイスの第1のデバイス領域及び第2のデバイスの第2のデバイス領域を含む。第1及び/又は第2のデバイス領域は、例えば、チャネル半導体、ソース/ドレイン半導体、ソース/ドレイン金属、ゲート金属、誘電体層又は材料などの任意の半導体、金属又は誘電体材料若しくは構造であってよい。第1のデバイス及び第2のデバイスは、同じタイプのデバイスであってよい、又は、それらは異なってよい。第1のデバイスと第2のデバイスとそのような差は、機能面、構造面又は両方におけるものであってよい。例えば、第1及び/又は第2のデバイスは、論理トランジスタ、メモリトランジスタ、パワートランジスタ、n型トランジスタ、p型トランジスタ、プレーナ型トランジスタ又は非プレーナ型トランジスタなどの任意の組み合わせであってよい。さらに、第1のデバイス及び第2のデバイスは、(例えば、セル内の裏側暴露の差別化が、多数の同一のセルのそれぞれと同じであるように)集積回路の同じアーキテクチャのセルにあってよい、又は、それらは、(例えば、セル間の裏側暴露の差別化が異なるセル間に生じるように)集積回路の異なるセルにあってもよい。また、第1のデバイスの第1のデバイス領域及び第2のデバイスの第2のデバイス領域は、同じであってよい、又は、それらは、異なっていてもよい。例えば、第1及び/又は第2のデバイス領域は、チャネル半導体、ソース/ドレイン半導体、ソース/ドレイン金属、ゲート金属、誘電体層又は材料などの任意の組み合わせであってよい。
方法3801において、第1のデバイス領域の裏側は、前述した裏側層の少なくとも部分的な厚さを除去することにより、第2のデバイス領域に対して選択的に暴露される。そのような選択的な暴露は、さらなる処理に対して、第2のデバイス領域を暴露しない(すなわち、第2のデバイス領域を保護する)が、裏側を介した第1のデバイス領域へのアクセスを提供してよいという点で有利である。それにより、例えば、さらなる処理は、第2のデバイス領域ではなく、第1のデバイス領域に対して選択的に適用されてよい。第1のデバイス領域の裏側の選択的な裏側暴露は、任意の適切な技術又は複数の技術、例えば、マスク型暴露技術、ブランケット暴露技術又は両方を用いて提供されてよい。
実施形態において、パターニングされたマスクは、パターニングされたマスクが、第2のデバイス領域の裏側を保護するように、裏側層の上方に形成される。リセスは、第1のデバイス領域を露出するために、裏側層のマスクされていない部分がエッチングされ、一方で、第2のデバイス領域は、パターニングされたマスクにより保護される。裏側層の除去された一部は、介在層及び/又はデバイス層の一部であってよい。そのような技術は、本明細書において、図38B及び図39~図45Cに関してさらに説明される。
実施形態において、裏側層の裏側全体の除去は、表側半導体デバイス層の裏側を暴露するために実行される。パターニングされた誘電体ハードマスク層は、パターニングされた誘電体ハードマスク層が第2のデバイス領域の裏側を保護するように、表側半導体デバイス層の裏側の上方に形成される。リセスは、第1のデバイス領域を露出するために、表側半導体デバイス層のマスクされていない部分において表側半導体デバイス層の少なくとも部分的な厚さでエッチングされ、一方で、第2のデバイス領域は、パターニングされた誘電体ハードマスク層により保護される。そのような技術において、パターニングされた誘電体ハードマスク層は、デバイス間の分離誘電体を提供するために、処理後も残っていてよい。例えば、パターニングされた誘電体ハードマスク層は、酸化又は窒化物などであってよい。そのような技術は、本明細書において、図38C及び図46~図54Cに関して説明される。
実施形態において、裏側層の部分的な厚さは、第1のデバイス領域の裏側を暴露するために、第1のデバイスの第1のデバイス領域及び第2のデバイスの第2のデバイス領域の両方にわたって除去される。例えば、表側半導体デバイス層の第1のデバイスの第1のデバイス領域及び第2のデバイスの第2のデバイス領域は、ブランケット暴露が集積回路に提供される場合、第1のデバイス領域が、第2のデバイス領域に対して選択的に暴露されるように、構造差を有してよい。そのような技術は、本明細書で説明される任意の工程又は構造を含んでよい。ゲート電極の構造的なフィーチャの差別化、例えば、図17及び図24A~図34Cに関して上述したように、デバイス階層の裏側からゲート電極のサブセットを選択的に暴露するために使用されてよい。例えば、第1の非プレーナ型デバイスの第1のゲート電極(例えば、第1のデバイス領域)は、ブランケット暴露(例えば、平面処理)のときに、第1のゲート電極が露出され、一方で第2のゲート電極は露出されないように、デバイス階層内又はデバイス階層を通じて、第2の非プレーナ型デバイスのゲート電極(例えば、第2のデバイス領域)よりも深く延びてよい。
図38Aに示されるように、方法3801は、受容されたドナー・ホスト基板アセンブリに関する裏側暴露及び関連技術を提供するために、様々な処理の分岐を提供する。例えば、工程3820、3850、3825及び3855は、様々な配列の可能性を例示するために、任意のレベル(例えば、L2)内の破線を通じて結合され、2つのレベル(例えば、L2及びL3)間の実線を通じて結合されるように示される。そのような処理の分岐の選択は、受容されたドナー・ホスト基板アセンブリ、暴露される所望の構造、及び/又は、裏側暴露構造上で実行される所望の処理に、少なくとも部分的に基づいてよい。示されように、方法3801(例えば、工程3810及び/又は3815)の第1のレベル(例えば、L1)は、ウェハレベルの完全裏側暴露、部分的な裏側暴露又は両方を含んでよい。第1のレベルは、裏側暴露技術を提供し得る。方法3801(例えば、工程3820及び/又は3850)の第2のレベル(例えば、L2)は、実装される暴露技術により提供されるセルレベルの差別化を含んでよい。第2のレベルは、セル間ベース、セル内ベース又は両方における暴露(破線により表される)を提供してよい。方法3801(例えば、工程3825及び/又は3858)の第3のレベル(例えば、L3)は、部分的な裏側暴露に用いられる暴露タイプを含んでよい。第3のレベルは、マスク型暴露、ブランケット暴露又は両方となるような暴露タイプを提供し得る。これらの暴露タイプのすべては、L2とL3との間の交差する実線の連結部分により表されるように、セル間の差別化又はセル内の差別化のいずれ一方を実現するために使用されてよい。さらに、第3のレベルは、暴露の差別化基盤を提供してよい。例えば、暴露は、選択的に暴露されるデバイスの機能、選択的に暴露されるデバイスの構造又は両方に基づいてよい。方法3801(例えば、工程3830、3835及び/又は3840)の第4のレベル(例えば、L4)は、工程3825及び/又は3858により暴露されるときに、デバイスの機能及び/又は構造的差別化のオプションを提供してよい。例えば、デバイスおn暴露は、論理であるデバイス、メモリ又は電力デバイスに基づいて、n型又はp型であるデバイスに基づいて、非プレーナ型又はプレーナ型であるデバイスに基づいて、又は、これらの任意の組み合わせに基づいて、選択的に実行されてよい。
示されるように、方法3801は、工程3805から工程3810を通じて工程130への分岐を含み、デバイス階層-ホスト基板アセンブリの出力を提供する。工程3810は、工程130においてデバイス階層-ホスト基板アセンブリを提供するために、受容されたドナー・ホスト基板アセンブリのウェハレベルの完全裏側暴露を提供する。そのようなウェハレベルの完全裏側暴露技術は、図5及び本明細書の他の場所に関して説明される。例えば、ウェハレベルの完全裏側暴露は、キャリア層の厚さを通じて、ポリッシング及び/又はエッチングすること、介在層を検出すること、介在層の厚さを通じてポリッシング及び/又はエッチングすることを含んでよい。名称が示すように、そのような処理は、マスキングなどをすることなく、受容されたドナー・ホスト基板アセンブリの全体にわたって実行される。実施形態において、ウェハレベルの完全裏側暴露は、介在層を暴露するために実行される。実施形態においては、ウェハレベルの完全裏側暴露は、デバイス層の裏側を暴露するために実行される。実施形態において、受容されたドナー・ホスト基板アセンブリは、キャリア層を含んでおらず、ウェハレベルの完全裏側暴露は、介在層の厚さ又は全体を除去する。実施形態において、ウェハレベルの完全裏側暴露は、さらなる処理(例えば、マスク又はブランケット暴露処理)が、第2のデバイスの第2のデバイス領域に対して選択的な第1のデバイスの第1のデバイス領域を暴露するために実行されるように、介在層及び/又はデバイス層を露出する。上述のように、実施形態において、ウェハレベルの完全裏側暴露は、第2のデバイスの第2のデバイス領域に対して選択的な第1のデバイスの第1のデバイス領域を暴露する。例えば、選択的なデバイス領域の暴露に対するそのようなウェハレベルの完全裏側暴露は、第1のデバイス領域が、ウェハレベルの完全裏側暴露処理のときに暴露され、一方で、第2のデバイスの第2のデバイス領域が、そのような処理の後も暴露されないように、第1のデバイス及び第2のデバイス間の構造差に基づいてよい。
また、工程3810及び3815をつなぐ斜線により示されように、いくつかの実施形態において、工程3810により提供されるようなウェハレベルの完全裏側暴露の後に、部分的な裏側暴露が工程3815において続いてよい。例えば、工程3810における受容されたドナー・ホスト基板アセンブリのウェハレベルの完全裏側暴露は、介在層及び/又はデバイス層(しかし、例えば、暴露対象はデバイス領域ではない)を暴露してよく、それらと共に示される任意の分岐を介した部分的な裏側暴露は、工程3815において、デバイス層又はこれらの一部(例えば、別のデバイス領域に対して選択的に暴露されるデバイス領域)の暴露を提供してよい。そのようなウェハレベルの完全裏側暴露の例の後に続く部分的な裏側暴露が、図38C及び本明細書の他の場所に関して示される。
方法3801はまた、工程3815で始まり、工程3805(又は、説明されたように工程3810)からの様々な分岐を含む。工程3815において、部分的な裏側暴露が提供又は開始される。そのような部分的な裏側暴露は、裏側暴露の範囲のオプションを提供し得る。実施形態において、裏側暴露は、ドナー・ホスト基板アセンブリの領域のレイアウトに基づいている。例えば、裏側暴露は、工程3820におけるセル間暴露、工程3850におけるセル内暴露又は領域ベースの暴露(図示せず)などに基づく選択的な暴露を提供し得る。このコンテキストにおいて、セルは、デバイス階層内で最も小さい機能単位である。トランジスタセルは、例えば、1つのトランジスタを含み、1T‐1Rメモリセルは、1つのトランジスタ及び1つの抵抗器を含み、1T‐1Cメモリセルは、1つのトランジスタ及び1つのコンデンサを含む。受動デバイス、例えば、抵抗器又はコンデンサを含むセルに関して、工程3820におけるセル間暴露は、例えば、これらの関連するセル内の位置に応じて、能動デバイス(例えば、トランジスタ)のみ、受動デバイスのみ又は能動及び受動デバイスの両方を暴露してよい。
工程3820で提供されるようなセル間の裏側暴露の差別化は、他のセル内にデバイス領域を覆われたまま(又は暴露されていないまま)にしつつ、特定のセル内意のデバイス領域の裏側暴露を提供する。セル内で暴露されたデバイス領域は、任意の適切な領域又は領域、例えば、チャネル半導体、ソース/ドレイン半導体、ソース/ドレイン金属、ゲート金属、誘電体層又は材料などであってよい。いくつかの実施形態において、工程3825に示されるように、そのようなセル間の裏側暴露の差別化は、マスク型暴露技術を用いて提供される。他の実施形態において、工程3858に示されるように、そのようなセル間の裏側暴露の差別化は、ブランケット暴露技術を用いて提供される。
工程3825を続けて、そのようなセル間のマスク型暴露技術は、暴露されるデバイス領域に対応するデバイスが、暴露されないデバイス領域を有するデバイスに対して異なる機能及び/又は異なる構造を有するように、機能ベース及び/又は構造ベースの差別化を提供してよい。工程3830、3835及び3840に関して示されるように、そのような機能及び/又は構造ベースの差別化は、トランジスタのデバイス領域のみ、様々なコンテキストにおける他のデバイスを暴露することに対応し得る。工程3830に関して示されるように、セル間の機能及び/又は構造ベースの差別化は、メモリ及び/又はパワートランジスタのデバイス領域に対して選択的な論理トランジスタのデバイス領域のみを暴露すること、論理及び/又はパワートランジスタのデバイス領域に対して選択的なメモリトランジスタのデバイス領域のみを暴露すること、及び、論理及び/又はメモリトランジスタのデバイス領域に対して選択的なパワートランジスタのデバイス領域のみを暴露することとなどに対応してよい。いくつかの実施形態において、そのような差別化は、デバイスの設計規則又はデバイスの限界寸法などに基づいてよい。工程3835に関して示されるように、セル間の機能及び/又は構造ベースの差別化は、p型トランジスタのデバイス領域に対して選択的なn型トランジスタのデバイス領域のみを暴露することに対応してよく、その逆も同様である。工程3840に関して示されるように、セル間の機能及び/又は構造ベースの差別化は、プレーナ型トランジスタのデバイス領域に対して選択的な非プレーナ型トランジスタ(例えば、フィントランジスタ)のデバイス領域のみを暴露することに対応してよく、その逆も同様である。
さらに、工程3830、3835及び3840を接続する斜線に関して示されるように、そのような論理/メモリ/パワー暴露、n型/p型暴露及び非プレーナ型/プレーナ型暴露の組み合わせ間の差別化が利用可能である。例えば、論理n型プレーナ型デバイスのデバイス領域は、メモリ/電力n型プレーナ型デバイス、論理p型プレーナ型デバイス、論理n型非プレーナ型デバイス、メモリ/パワーp型のプレーナ型デバイス及びメモリ/パワーp型非プレーナ型デバイスなどに対して選択的に暴露されてよい。1つの他の例を示すために、電力p型プレーナ型デバイスのデバイス領域は、論理/メモリp型プレーナ型デバイス、電力n型プレーナ型デバイス、電力p型非プレーナ型デバイス、論理/メモリn型プレーナ型デバイス又は論理/メモリn型非プレーナ型デバイスに対して選択的に暴露されてよい。例えば、そのような選択性は、任意の配列において、デバイスの機能タイプ間(例えば、論理/メモリ/パワーから選択される)、極性間(例えば、n型/p型から選択される)及び/又はデバイス構造間(例えば、プレーナ型/非プレーナ型から選択される)で提供されてよい。さらに、追加のオプション、例えば、デバイスタイプ(例えば、トランジスタ、抵抗器、ダイオードなど)及び/又は他の構造体間の差別化が利用可能である。例えば、デバイス領域は、機能、タイプ、構造又は他の適切な特徴の任意の適切な組み合わせに選択的に基づいて暴露されてよい。
方法3801の第3のレベルに戻って、工程3855に示されるように、セル間の裏側暴露の差別化は、暴露の選択が表側処理により作られるデバイスフィーチャ内の構造差の機能であるブランケット暴露技術を用いて提供されてよい。そのようなブランケット暴露技術は、第2のデバイス領域を暴露しないが、第1のデバイス領域を暴露するために、介在層又は層の厚さ、及び/又は、デバイス層の厚さを通じてポリッシングすること及び/又はエッチングすることを含んでよい。そのようなブランケット暴露処理は、マスキングすることなく、介在層及び/又はデバイス層の全体に実行される。実施形態において、ブランケット暴露処理は、そのようなブランケット暴露処理により、露出させるために置かれた第1のデバイス領域及び露出させないために置かれた第2のデバイス領域に基づいて、第2のデバイス領域に対して選択的な第1のデバイスの第1のデバイス領域を暴露する。例えば、第2のデバイス領域の露出前に、平面工程又はエッチング工程において、第1のデバイス領域が露出されるように、第1のデバイス領域又はその一部は、第2のデバイス領域の下(例えば、裏側に向かう方向)に延びてよい。ブランケット暴露処理は、第1のデバイス領域が露出されており、第2のデバイス領域が露出されていない場合、(例えば、タイミング又はマーカなどに基づいて)停止されてよい。
工程3855に示されるように、選択的なブランケット暴露処理は、第1のデバイス領域及び第2のデバイス領域に関して、第1のデバイスと第2のデバイスとの間の構造的差別化に依存し得る。構造差は、先に論じられたように、第2のデバイス領域の下に延びる第1のデバイス領域、第1のデバイス領域と第2のデバイス領域との間材料差などであってよい。裏側暴露に対する構造差(例えば、裏側暴露の構造差)に加えて、第1のデバイス及び第2のデバイスは、セル間の差別化を提供するために、任意の適切な機能及び/又は他の構造的な違いを有してよい。例えば、そのような裏側暴露の構造差は、第1のデバイス(例えば、裏側が暴露される第1のデバイス領域を有する)が論理トランジスタであり、第2のデバイス(例えば、暴露されない第2のデバイス領域を有する)がメモリ又はパワートランジスタであるような、異なる機能のトランジスタ間で提供されてよい。実施形態において、裏側暴露の構造差は、第1のデバイス(例えば、裏側が暴露される第1のデバイス領域を有する)が、n型トランジスタであり、第2のデバイス(例えば、暴露されない第2のデバイス領域を有する)が、p型トランジスタであり、その逆も同様であるように、異なる極性のトランジスタ間で提供されてよい。実施形態において、裏側暴露の構造差は、第1のデバイス(例えば、裏側が暴露される第1のデバイス領域を有する)が、非プレーナ型トランジスタであり、第2のデバイス(例えば、暴露されない第2のデバイス領域を有する)が、プレーナ型トランジスタであり、その逆も同様であるように、異なるデバイス構造のトランジスタ間で提供されてよい。実施形態において、裏側暴露の構造差は、そうでなければ、同じ機能、極性及び/又は構造のものであるデバイスにおいて提供されてよい。
例えば、工程3830、3835及び3840に関して示されるように、裏側暴露の構造差は、任意の配列において、デバイスの機能タイプ(例えば、論理/メモリ/パワーから選択される)、極性(例えば、n型/p型から選択される)及び/又はデバイス構造(例えば、プレーナ型/非プレーナ型から選択される)にわたって提供されてよい。さらに、追加のオプション、例えば、デバイスタイプ(例えば、トランジスタ、抵抗器、ダイオードなど)及び/又は他の構造体間の差別化利用可能である。例えば、デバイス領域は、機能、タイプ、構造又は他の適切な特徴の任意の適切な組み合わせに選択的に基づいて暴露されてよい。
ここで、工程3850で提供されるようなセル内の裏側暴露の差別化について検討してみると、同じセル内の他のデバイスの他のデバイス領域が、暴露されない(例えば、裏側から覆われたまま)である一方で、そのようなセル内暴露は、特定のデバイスの領域又はデバイスのデバイス領域又は複数の領域の裏側暴露を提供する。暴露されるデバイス領域は、任意の適切な領域、例えば、チャネル半導体、ソース/ドレイン半導体、ソース/ドレイン金属、ゲート金属、誘電体層又は材料などであってよい。また、工程3820及び3850をつなぐ斜線に関して示されるように、そのようなセル間及びセル内暴露の差別化は、様々な組み合わせと共に用いられてよい。例えば、差別化は、暴露される第1のセルのデバイス領域は存在しないが、第2のセルの特定のデバイス領域が暴露されるような条件であってよい。そのような差別化は、第1のセルと第2のセルとの間のセル間の差別化を提供する。さらに、第2のセル内において、第1のデバイスの特定のデバイス領域は暴露されており、一方で、第2のデバイスのデバイス領域は、暴露されない。第2のセル内の第1のデバイスと第2のデバイスとの間のそのような差別化は、第2のセルの第1のデバイスと第2のデバイスとの間のセル内の差別化を提供する。2つのデバイスタイプを有する1つのセルを用いて、2つのセル間の差別化に関して説明されるが、そのような差別化は、任意の数のセルタイプ間におけるセル間レベル、及び、セル内ベースで異なって暴露される異なる暴露されるセル間を有するセル内レベルで提供されてよい。例えば、3つのセルタイプのうち、1つは、全く暴露されなくてよく、2つ目及び3つ目は、第2のセルタイプのすべてのデバイスが暴露されるデバイス領域を有し、一方で、セル内の差別化は、第3のセル内で提供される(例えば、第3のセル内のいくつかのデバイスは暴露されないが、一方で、他のデバイスは、暴露されるデバイス領域を有する)ように、暴露されてよい。
いくつかの実施形態において、工程3825に示されるように、マスク型暴露技術は、裏側暴露差別化を容易にする。さらに、そのようなマスクされた暴露技術は、暴露される領域に対応するデバイスが、暴露されない領域を有するデバイスに対して異なる機能を有するように、機能ベース及び/又は構造ベースの差別化を提供してよい。例えば、工程3830に関して示されるように、セル内機能ベース及び/又は構造ベースの差別化は、メモリ及び/又はパワートランジスタのデバイス領域に対して選択的な論理トランジスタのデバイス領域のみを暴露すること、論理及び/又はパワートランジスタのデバイス領域に対して選択的なメモリトランジスタのデバイス領域のみを暴露すること、又は、論理及び/又はメモリトランジスタのデバイス領域に対して選択的なパワートランジスタのデバイス領域のみを暴露することなどに対応してよい。いくつかの実施形態において、そのような差別化は、デバイスの設計規則又はデバイスの限界寸法などに基づいてよい。工程3835に関して示されるように、セル内の機能ベース及び/又は構造ベースの差別化は、p型トランジスタのデバイス領域に対して選択的なn型トランジスタのデバイス領域のみを暴露することに対応してよく、その逆も同様である。工程3840に関して示されるように、セル内機能ベース及び/又は構造ベースの差別化は、プレーナ型トランジスタのデバイス領域に対して選択的な非プレーナ型トランジスタ(例えば、フィントランジスタ)のデバイス領域のみを暴露することに対応してよく、その逆も同様である。
さらに、セル間の差別化に関して説明されたように、及び、工程3830、3835及び3840を接続する斜線に関して示されるように、論理/メモリ/パワー暴露、n型/p型暴露及び非プレーナ型/プレーナ型暴露の組み合わせ間の差別化は、セル内ベースで利用可能である。例えば、そのような選択性は、任意の配列において、デバイスの機能タイプ間(例えば、論理/メモリ/パワーから選択される)、極性間(例えば、n型/p型から選択される)及び/又はデバイス構造間(例えば、プレーナ型/非プレーナ型から選択される)で提供されてよい。さらに、追加のオプション、例えば、デバイスタイプ(例えば、トランジスタ、抵抗器、ダイオードなど)及び/又は他の構造体間の差別化が利用可能である。例えば、デバイス領域は、機能、タイプ、構造又は他の適切な特徴の任意の適切な組み合わせに基づいて選択的に暴露されてよい。
再び方法3801の第3のレベルを参照すると、工程3855に示されるように、セル内の裏側暴露の差別化は、ブランケット暴露技術を用いて提供され得る。先に論じられたように、そのようなブランケット暴露技術は、第2のデバイス領域を暴露することな第1のデバイス領域を暴露するために、介在層又は層の厚さ及び/又はデバイス層の厚さを通じてポリッシングすること及び/又はエッチングすることを含んでよい。ブランケット暴露処理は、そのようなブランケット暴露処理により、露出させるために置かれた第1のデバイス領域置及び露出を回避するためにかれた第2のデバイス領域に基づいて、第2のデバイスの第2のデバイス領域に対して選択的な第1のデバイスの第1のデバイス領域を暴露してよい。例えば、平坦化工程又はエッチング工程において、第2のデバイス領域の露出前に、第1のデバイス領域が露出されるように、第1のデバイス領域又はその一部は、第2のデバイス領域の下(例えば、裏側に向かう方向)に延びてよい。ブランケット暴露処理は、第1のデバイス領域が露出されており、第2のデバイス領域が露出されていない場合、(例えば、タイミング又はマーカなどに基づいて)停止されてよい。
セル内の差別化に関して、ブランケット暴露処理は、第1のデバイス領域及び第2のデバイス領域に関して、第1のデバイスと第2のデバイスとの間の構造的差別化に依存し得る。構造差は、例えば、先に論じられたように、第2のデバイス領域の下に延びる第1のデバイス領域、又は、第1のデバイス領域と第2のデバイス領域との間の材料差であってよい。裏側暴露に対する構造差(例えば、裏側暴露の構造差)に加えて、第1のデバイス及び第2のデバイスは、セル内の差別化を提供するために、任意の適切な機能及び/又は他の構造的な違いを有してよい。例えば、そのような裏側暴露の構造差は、第1のデバイス(例えば、裏側が暴露される第1のデバイス領域を有する)が論理トランジスタであり、第2のデバイス(例えば、暴露されない第2のデバイス領域を有する)がメモリ又はパワートランジスタであるような、異なる機能のトランジスタ間で提供されてよい。実施形態において、裏側暴露の構造差は、第1のデバイス(例えば、裏側が暴露される第1のデバイス領域を有する)が、n型トランジスタであり、第2のデバイス(例えば、暴露されない第2のデバイス領域を有する)が、p型トランジスタであり、その逆も同様であるように、異なる極性のトランジスタ間で提供されてよい。実施形態において、裏側暴露の構造差は、第1のデバイス(例えば、裏側が暴露される第1のデバイス領域を有する)が、非プレーナ型トランジスタであり、第2のデバイス(例えば、暴露されない第2のデバイス領域を有する)が、プレーナ型トランジスタであり、その逆も同様であるように、異なるデバイス構造のトランジスタ間で提供されてよい。実施形態において、裏側暴露の構造差は、そうでなければ、同じ機能、極性及び/又は構造のものであるデバイスにおいて提供されてよい。
例えば、工程3830、3835及び3840に関して示されるように、構造差は、任意の配列において、デバイスの機能タイプ(例えば、論理/メモリ/パワーから選択される)、極性(例えば、n型/p型から選択される)及び/又はデバイス構造(例えばプレーナ型/非プレーナ型から選択される)にわたって提供されてよい。さらに、追加のオプション、例えば、デバイスタイプ(例えば、トランジスタ、抵抗器、ダイオードなど)及び/又は他の構造体間の差別化が利用可能である。例えば、デバイス領域は、機能、タイプ、構造又は他の適切な特徴の任意の適切な組み合わせに基づいて選択的に暴露されてよい。
先に論じられたように、いくつかの実施形態において、裏側暴露は、そのような領域内のデバイスの機能及び/又は構造に基づいており、そのような領域を画定し、又は、ドナー・ホスト基板アセンブリ全体に散在される。例えば、裏側暴露は、論理デバイスとメモリデバイスとの間、論理デバイスと電力デバイスとの間、メモリデバイスと電力デバイスとの間の選択的な暴露を提供してよく、そうでなければ、(例えば、工程3830において)デバイスの機能又はデバイスの限界寸法などに基づい提供されてよい。他の例において、裏側暴露は、n型デバイスとp型デバイスとの間(例えば、工程3835)、又は、フィン又は非プレーナ型ベースのデバイスとプレーナ型デバイスとの間(例えば、工程3840)などにおける選択的な暴露を提供する。さらに、他のデバイスタイプベースの裏側暴露オプションが利用可能である。
工程3815に関して説明されるように、いくつかの実施形態において、部分的な裏側暴露は、マスク型暴露に基づいて提供される。例えば、そのような技術は、暴露されないマスキングエリア、領域、ICセル又はサブセルなど、及び非マスクエリア、領域、セル、サブセルなどから選択的に除去する材料(例えば、ビアエッチングなど)を含んでよい。そのような技術は、セル間(例えば、セル間)、セル間(例えば、セル内)、異なる機能のデバイス間、異なる構造を有するデバイス間などにおいて選択的な裏側暴露を提供してよい。
さらに、工程3855に関して示されるように、いくつかの実施形態において、部分的な裏側暴露は、ブランケット暴露処理により提供される。そのような実施形態において、提供される必要があるマスキングは存在せず、裏側暴露は、暴露される領域を有するデバイス間の構造差(例えば、裏側暴露の構造差)に基づいて提供てよく、これらのデバイスは、暴露されない領域を有していない。例えば、ブランケット暴露は、暴露されるデバイスと暴露されないこれらとの間の構造差に基づいて、選択的な裏側暴露を提供してよい。そのような構造差は、異なるセル又はサブセルなどにおけるデバイス間にある可能性があり、それらは、同じ又は異なるデバイス間で提供され得る。そのような異なるデバイスは、機能、裏側暴露の構造差以外の構造などにおいて異なっている可能性がある。
工程3815、3820、3850、3825、3855、3830、3858、3836、3835及び3840により提供される方法3801の様々な分岐は、工程130で出力されるデバイス階層-ホスト基板アセンブリの範囲を生成するように実装されてよい。例えば、工程3805、3815、3820、3825、3830及び130は、受容されたドナー・ホスト基板アセンブリ(例えば、工程3805で受容される)の部分的な裏側暴露(例えば、工程3815において)を提供して、論理トランジスタとメモリ及び/又はパワートランジスタとの間、メモリと、論理及び/又はパワートランジスタとの間、電力と、論理及び/又はメモリトランジスタとの間(例えば、工程3830において)のセル間の裏側暴露の差別化(例えば、工程3820において)提供する。工程3805、3815、3850、3825、3835及び130は、受容されたドナー・ホスト基板アセンブリ(例えば、工程3805において受容される)の部分的な裏側暴露(例えば、工程3815において)を提供して、n型トランジスタとp型トランジスタとの間(例えば、工程3830において)のセル内の裏側暴露の差別化(例えば、工程3825)を提供する。示されるように、広範な他の工程が利用可能である。
例えば、方法3801を示す図38Aは、受容されたドナー・ホスト基板アセンブリ(例えば、工程3805において受容される)に対して、(例えば、別のデバイス領域に対して選択的な1つのデバイス領域の)選択的な裏側暴露を提供するために、以下のオプションを提供することが読み取られ得る。ウェハレベルの完全裏側暴露及び部分的な裏側暴露のいずれか一方又は両方が、(例えば、L1工程3810及び3815において)実行され得る。セル間及び/又はセル内の裏側暴露の差別化は、(例えば、L2工程3820及び3850において)提供され得る。そのようなセル間又はセル内のレベル差別化は、(例えば、L3工程3825及び3855において)マスク及び/又はブランケット暴露により提供されてよい。マスク型暴露は、裏側暴露における機能ベース及び/又は構造ベースの差別化を提供し得る。(例えば、マスク型暴露又はブランケット暴露を用いて)選択的な裏側暴露により提供される差別化(例えば、セル間又はセル内)は、論理/メモリ/パワートランジスタ間、n型及びp型トランジスタ間、プレーナ型及び非プレーナ型トランジスタ間又はこれらの任意の組み合わせの差別化を提供してよい。示されるように、選択的な裏側暴露及び/又は追加の処理を有するデバイス階層-ホスト基板アセンブリの出力は、工程130において提供される。
説明は、ここで方法3801をさらに示す特定の技術例に変わる。第1の実施形態は、図38B及び図39~図45Cに関して提供され、第2の実施形態は、図38C及び図46~図54Cに関して提供される。そのような実施形態に関して説明される技術例は、任意の特定の方法又は方法3801の分岐に拡張され得る。
図38Bは、いくつかの実施形態に従う、プレーナ型トランジスタに対して選択的な非プレーナ型トランジスタの裏側トランジスタのソース/ドレイン半導体及びコンタクト・メタライゼーションを形成するための方法を示すフロー図3802である。方法3802は、入力3806として、プレーナ型トランジスタ構造及び非プレーナ型トランジスタ構造を含むドナー・ホスト基板アセンブリから始まる。ドナー基板は、本明細書で説明されたフィーチャ、例えば、限定されることはないが、介在層及びキャリア層のうちの1又は複数を有してよい。しかしながら、キャリア層は、方法3802を実行するのに必要とされない。方法3802の入力における非プレーナ型及び/又はプレーナ型トランジスタ構造は、例えば、完全に動作可能であってよい。代替的に、裏側処理が完了するまで、非プレーナ型トランジスタ構造が動作可能とならないように、1又は複数の端子は存在しなくてよい。
図39は、いくつかの実施形態に従う、一方のソース/ドレインメタライゼーション650を欠く非プレーナ型トランジスタ構造1304、及び、ソース/ドレインメタライゼーション650の両方を有するプレーナ型トランジスタ構造3904の平面図である。非プレーナ型トランジスタ構造1304に関して示される太い一点鎖線は、断面図に沿う図40A~図40C、図42A~図42C及び図44A~図44Cとしてさらに提供される面を示す。同様に、プレーナ型トランジスタ構造3904に関して示される太い一点鎖線は、断面図に沿う図41A~図41C、図43A~図43C及び図45A~45Cとしてさらに提供される面を示す。本明細書で説明され、方法3802に関して示される技術を用いて、選択的な裏側処理は、プレーナ型トランジスタ構造3904に対する裏側処理を提供することなく、非プレーナ型トランジスタ構造1304を提供し得る。
図38Bに戻って、方法3802により提供される選択的な裏側処理は、非プレーナ型トランジスタ構造1304(例えば、第1のデバイス)のソース/ドレイン半導体640(例えば、第1のデバイス領域)の裏側暴露を提供する。さらに、方法3802により提供される選択的な裏側処理は、プレーナ型トランジスタ構造3904(例えば、第2のデバイス)に対して選択的、それにより、プレーナ型トランジスタ構造3904の各デバイス領域に対して選択的な非プレーナ型トランジスタ構造1304のソース/ドレイン半導体640の裏側を暴露する。例えば、非プレーナ型トランジスタ構造1304のソース/ドレイン半導体640の選択的な裏側暴露は、ソース/ドレイン半導体640、ソース/ドレインメタライゼーション650、ソース/ドレインメタライゼーション650及び/又はソース/ドレイン半導体640からゲート電極673を分離するスペーサ誘電体671、フィールド分離誘電体680、及び、プレーナ型トランジスタ構造3904のデバイス層215に対して選択的である。プレーナ型トランジスタ構造3904に対して選択的な非プレーナ型トランジスタ構造1304のソース/ドレイン半導体640の選択的な裏側暴露に関して説明されるが、方法3802は、非プレーナ型トランジスタ構造1304の任意の1又は複数のデバイス領域に対して選択的なプレーナ型トランジスタ構造3904の任意の1又は複数のデバイス領域の選択的な裏側暴露を提供してよい。さらに、方法3802は、プレーナ型トランジスタ構造3904の任意の1又は複数のデバイス領域に対して選択的な非プレーナ型トランジスタ構造1304の任意の1又は複数のデバイス領域の選択的な裏側暴露を提供してよい。
さらに、方法3802は、暴露されたソース/ドレイン半導体640(例えば、暴露された第1のデバイス領域)の上方に1又は複数の非天然材料を(例えば、堆積などにより)配置することを提供してよい。方法3802の例において、裏側ソース/ドレイン半導体1640及び裏側ソース/ドレインメタライゼーション1650は、暴露された(例えば、裏側が暴露された)ソース/ドレイン半導体640の上方に配置される。ソース/ドレイン半導体640の上方に裏側ソース/ドレイン半導体1640及び裏側ソース/ドレインメタライゼーション1650を配置することに関して説明されるが、任意の適切な非天然材料が、任意の露出させたデバイス領域の上方に配置されてよい。例えば、非天然材料又は材料は、半導体材料、金属材料又は誘電体材料を含んでよい。
図39に示されるように、いくつかの実施形態において、非プレーナ型トランジスタ及びプレーナ型トランジスタは、同じ集積回路に統合されてよい。例えば、非プレーナ型トランジスタ構造1304及びプレーナ型トランジスタ構造3904は、ICダイ601上に統合されてよい。プレーナ型トランジスタ構造3904は、非プレーナ型トランジスタ構造1304に関する数のように、同様の構造を示す。例えば、プレーナ型トランジスタ構造3904は、ゲート電極673、ソース/ドレイン半導体640、ソース/ドレインメタライゼーション650、ソース/ドレインメタライゼーション650からゲート電極673及び/又はソース/ドレイン半導体640を分離するスペーサ誘電体671、フィールド分離誘電体680、及び、デバイス層215を含む。理解されるように、非プレーナ型トランジスタ構造1304とは対照的に、ゲート電極673(及び図41Aのゲート誘電体845)は、トランジスタチャネルのチャネル領域の周囲に配置していない。
非プレーナ型トランジスタ構造1304に関して、ソース又はドレインメタライゼーション650がない場合、ソース/ドレインメタライゼーション650に対するピッチ及び/又は限界寸法の制限、及び/又は、他の表側メタライゼーションレベル(例えば、ゲート電極673又はより高いメタライゼーションレベル)が緩和される点で有利である。ソース又はドレインメタライゼーション650の欠如は、例えば、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法3802(図38B)を用いて、第3の端子接続が製造されれるまで、非プレーナ型トランジスタ構造1304が操作不可能であることを表し得る。そのような裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーションは、トランジスタ構造に電力レール(例えば、Vcc)を結合してよく、トランジスタ構造の階層の両側に電力(ソース)及び信号(ゲート電極の電圧)ルーティングを設置する点で有利である。特に、選択的な裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法3802は、(例えば、すべてのデバイス端子を含む)表側から製造されるときに十分に機能するトランジスタ構造に対して実施されてもよい。そのような実施形態に関して、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法3802は、トランジスタ階層の両側に配置されるインターコネクトトレースにソース/ドレイン・トランジスタ端子を巻きつけるために実施されてよく、ソース/ドレイン・コンタクト抵抗を低減し、及び/又は、トランジスタのソース/ドレインのデバイス領域が、少なくとも2つの他の回路ノードに直接ファンアウトさせる回路ノードとなることを可能にし得る点で有利である。
本明細書でさらに説明され、図40A~図45Cに対して示されるように、非プレーナ型トランジスタ構造1304のそのような裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション中に、プレーナ型トランジスタ構造3904は、マスクされて、選択的に暴露されていない(例えば、非プレーナ型トランジスタ構造1304のソース/ドレイン半導体640の裏側暴露中に、プレーナ型トランジスタ構造3904のデバイス領域は暴露されない)。例えば、プレーナ型トランジスタ構造が、(例えば、すべてのデバイス端子を含む)表側から製造されるときに十分に機能的であり、非プレーナ型トランジスタ構造1304の裏側処理が、プレーナ型トランジスタ構造3904の機能に影響を与えないように、選択的な裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法3802は、プレーナ型トランジスタ構造3904上で実行されてよい。
図38Bに戻り、工程3808では、デバイス階層(例えば、介在層又は複数の介在層)の裏側が、キャリア層を除去することにより暴露される。いくつかのさらなる実施形態において、デバイス層の上方に堆積される任意の介在層及び/又は表側材料の部分は、暴露工程3808中に除去されてもよい。いくつかの例示的な実施形態のコンテキストにおいて本明細書の他の場所で説明されるように、介在層は、例えば、ウェハレベルの裏側暴露処理で使用されるエッチングマーカ又はエッチングストップのうちの1又は複数として機能するデバイス階層の裏側の高い均一な露出を容易にし得る。例えば、除去する前に、介在層が、キャリア層及びデバイス層の両方と直接接触していた状態にあるように、介在層は、除去されたキャリア層からデバイス層を分離してよい。先に論じられたように、いくつかの実施形態において、工程3806で受容されたプレーナ型トランジスタ構造及び非プレーナ型トランジスタ構造含むドナー・ホスト基板アセンブリは、キャリア層を含んでおらず、そのような実施形態において、工程3808は省略されてよい。
方法3802は、工程3812に進み、プレーナ型トランジスタ構造の裏側がマスクされる。プレーナ型トランジスタ構造の裏側のそのような選択的なマスキングは、非プレーナ型トランジスタ構造の裏側への選択的な露出又はアクセス(例えば、非プレーナ型トランジスタ構造の選択的なデバイス領域の裏側へのアクセス)を提供する。さらに、工程3812では、非プレーナ型トランジスタの裏側の部分は、非プレーナ型トランジスタの特定の構造(例えば、ソース/ドレイン半導体領域)への選択的なアクセスを提供するためにマスクされてもよい。工程3812で提供されたマスクは、任意の適切な技術又は複数の技術を用いて適用される任意の適切なマスクを含んでよい。
方法3802は工程3818に進み、非プレーナ型トランジスタ構造内の少なくとも1つ非プレーナ型トランジスタのソース/ドレイン領域の裏側が暴露される。いくつかの実施形態において、裏側リセスエッチングは、裏側リセスエッチングが、工程3812で適用されるマスクにより提供されるパターニングを有するように、工程3818で実行される。裏側リセスエッチングは、非プレーナ型トランジスタ構造(例えば、暴露されないこれらのデバイス領域)の他の領域に対して選択的に、及び、プレーナ型トランジスタ構造(例えば、第2のデバイス)のデバイス領域(例えば、第2の領域又は複数の第2の領域)に対して選択的に、非プレーナ型トランジスタ構造(例えば、第1のデバイス)のソース/ドレイン半導体領域(例えば、第1のデバイス領域)を暴露してよい。先に論じられたように、プレーナ型トランジスタ構造のデバイス領域に対する選択は、プレーナ型トランジスタ構造の全体(例えば、すべてのデバイス領域)に対して選択的に提供されてよい。
一旦、非プレーナ型トランジスタ構造の選択的なソース/ドレイン半導体領域が暴露されると、方法3802は、工程3826で完了し、この工程では、非天然材料のソース/ドレイン半導体が、裏側から、非プレーナ型トランジスタの暴露されたソース/ドレイン領域上又はその上方に堆積され、及び/又は、コンタクト・メタライゼーションは、裏側から加えられたソース/ドレイン半導体の上方に堆積される。ソース/ドレイン半導体及び/又はコンタクト・メタライゼーションは、裏側の暴露された半導体領域の上方に配置され得る非天然材料の例である。示されるように、工程3826は、そのような裏側処理により選択的に暴露されていないプレーナ型トランジスタ構造と統合された裏側ソース/ドレイン半導体の上方にコンタクト・メタライゼーションを有する非プレーナ型トランジスタ構造を出力する。
図40A、図42A及び図44Aは、いくつかの実施形態に従って、方法3802における工程が実行されるときの図39に示されるA‐A'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。図41A、図43A及び図45Aは、いくつかの実施形態に従って、方法3802における工程が実行されるときの図39に示されるA‐A'面に沿うプレーナ型トランジスタ構造3904の断面図を示す。図40B、図42B及び図44Bは、いくつかの実施形態に従って、方法3802における工程が実行されるときの図39に示されるB‐B'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。図41B、図43B及び図45Bは、いくつかの実施形態に従って、方法3802における工程が実行されるときの図39に示されるB‐B'面に沿うプレーナ型トランジスタ構造3904の断面図を示す。図40C、図42C及び図44Cは、いくつかの実施形態に従って、方法3802における工程が実行されるときの図39に示されるC‐C'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。図41C、図43C及び図45Cは、いくつかの実施形態に従って、方法3802における工程が実行されるときの図39に示されるC‐C'面に沿うプレーナ型トランジスタ構造3904の断面図を示す。
図40A~図40Cは、いくつかの実施形態に従う、表側処理及び/又は任意のキャリア除去後の例示的な非プレーナ型トランジスタ構造1304に存在する構造の断面図を示す。図40A~図40Cに示される構造的なフィーチャは、同様の参照番号に関して本明細書で説明された特性のいずれかを有してよい。さらに、図41A~図41Cは、表側処理及び/又は任意のキャリア除去後の例示的なプレーナ型トランジスタ構造3904に存在する構造を示す。図41A~図41Cに示される構造的なフィーチャは、同様の参照番号に関して本明細書で説明される特性のいずれかを有してもよい。例えば、図40A~図40C及び図41A~図41Cは、方法3802の工程3806が実行された(図38Bを参照されたい)後の非プレーナ型トランジスタ構造1304及びプレーナ型トランジスタ構造3904の断面図を示す。
図42A~図42C及び図43A~図43Cに示されるように、エッチングマスク1410(例えば、パターニングされたマスク)は、非プレーナ型トランジスタ構造1304及びプレーナ型トランジスタ構造3904の裏側構造に位置合わせされる。さらに、介在層210及びトランジスタ半導体本体610のかなりの部分(例えば、デバイス層215の一部)は、エッチングマスク1410により画定されたマスクされていない部分内の選択的な暴露リセス1540を提供するために除去される。ソース/ドレイン領域間の構造差(例えば、深さの差)がある代替的な実施形態において、エッチングマスク1410は、図14D、図15D及び図16Dのコンテキストにおいて他の場所で説明されたように、構造1304の処理を用いて構造3904のみを保護すべく制限されてよい。
示されるように、エッチングマスク1410は、プレーナ型トランジスタ構造3904の裏側の全体をマスクする(図43A~図43Cを参照されたい)。さらに、エッチングマスク1410は、選択的な暴露リセス1540によりソース/ドレイン半導体640へアクセスする又は暴露することを可能にする非プレーナ型トランジスタ構造1304の裏側部分を暴露する(図42A~図42Cを参照されたい)ソース/ドレイン半導体に対するアラインメント640は、正確である必要はなく、その結果、ゲート電極673と重なる部分が最小化され又は回避され得る。先に論じられたように、エッチングマスク1410は、裏側基板の別の介在層であってよく、介在層210、介在層210の裏側表面の上方に適用されるソフトマスク(例えば、感光性レジスト)などを露出した後に、堆積されてよい。先に論じられたように、パターニングされないデバイス領域(例えば、マスクされていない領域又は露出された領域)は、次に、適用可能な材料組成に適していることで知られる任意のウェット及び/又はプラズマエッチング処理を用いてリセスエッチングされる。
さらに、介在層210及びトランジスタ半導体本体610のかなりの部分の除去の後に、サブフィンの高さHsfは、エッチングマスク1410により保護される非プレーナ型トランジスタ構造1304の領域において維持される。選択的な暴露リセス1540は、任意の深さ及び横寸法であってよい。例えば、選択的な暴露リセス1540は、半導体本体610のサブフィン部分(例えば、介在層210の半導体部分)を完全に除去して、ソース/ドレイン半導体640を露出してよい。示されるように、エッチングマスク1410は、プレーナ型トランジスタ構造3904の裏側の全体をマスクする(図43A~図43Cを参照されたい)。例えば、図42A~図42C及び図43A~図43Cは、工程3812及び3818(図38B)が実行された後の、非プレーナ型トランジスタ構造1304及びプレーナ型トランジスタ構造3904の断面図をそれぞれ示す。
図44A~図44C及び図45A~図45Cは、p型又はn型の不純物がドープされた裏側ソース/ドレイン半導体1640のエピタキシャル成長又は堆積及び後続の裏側ソース/ドレインメタライゼーション1650の堆積の後の非プレーナ型トランジスタ構造1304及びプレーナ型トランジスタ構造3904を示す。示されるように、裏側ソース/ドレイン半導体1640は、ソース/ドレイン半導体640に隣接して配置又はソース/ドレイン半導体640の上方に配置される。裏側ソース/ドレイン半導体1640は、任意の適切な技術又は複数の技術、例えば、エピタキシャル成長プロセス、堆積プロセスなどを用いて、ソース/ドレイン半導体640の上方に配置されてよい。例えば、ソース/ドレイン半導体640を形成するために使用される同一のエピタキシャル又は堆積処理は、裏側ソース/ドレイン半導体1640を形成するために使用されてよい。裏側ソース/ドレイン半導体1640は、任意の適切な材料、例えば、限定されることはないが、IV族半導体(例えば、Si、Ge、SiGe)及び/又はIII-V族半導体(例えば、InGaA、InA)及び/又はIII-N族半導体(例えば、InGaN)であってよい。
また、示されるように、裏側ソース/ドレインメタライゼーション1650は、裏側ソース/ドレイン半導体1640に隣接して配置される、又は、裏側ソース/ドレイン半導体1640の上方に配置される。裏側ソース/ドレインメタライゼーション1650は、任意の適切な技術又は複数の技術、例えば、金属堆積プロセスを用いて、ソース/ドレイン半導体1640の上方に配置されてよい。例えば、裏側ソース/ドレインメタライゼーション650を形成するために使用されるのと同一の堆積処理が、裏側ソース/ドレインメタライゼーション1650を形成するために使用されてよい。裏側ソース/ドレインメタライゼーション650は、任意の適切な材料、例えば、Ti、W、Pt又はこれらの合金などを含んでよい。また、示されように、図45A~図45Cにおいて、プレーナ型トランジスタ構造3904は、裏側ソース/ドレイン半導体も裏側ソース/ドレイン・コンタクト・メタライゼーションもプレーナ型トランジスタ構造3904に提供されないように、エッチングマスク1410によりマスクされ続けてよい。例えば、非天然材料の裏側ソース/ドレイン半導体1640及び裏側ソース/ドレインメタライゼーション1650は、非プレーナ型トランジスタ構造1304に対して選択的に提供され得る一方、プレーナ型トランジスタ構造3904には加えられない。例えば、図42A~図42C及び図43A~図43Cは、工程3826(図38B)が実行された後の非プレーナ型トランジスタ構造1304及びプレーナ型トランジスタ構造3904の断面図をそれぞれ示す。
図44A~図44C及び図45A~図45Cにより表されるいくつかのさらなる実施形態において、裏側メタライゼーションの余分な表層(over berden)は、ソース/ドレイン・コンタクト・メタライゼーションを用いてエッチングマスク1410及び/又は介在層210を再露出させるポリッシング(例えば、CMP)により除去され、その結果、選択的な暴露リセス1540を埋め戻することで閉じ込められる。後続の裏側処理は、少なくともソース/ドレインメタライゼーション1650を電気的に結合する1又は複数の裏側インターコネクトメタライゼーションレベル(不図示)の製造をさらに含んでよい。そのようないくつかの実施形態において、そのような裏側インターコネクトメタライゼーションは、表側インターコネクトメタライゼーションレベルとは異なる組成であり、及び/又は、裏側インターコネクトメタライゼーションは、表側インターコネクトメタライゼーションの対応するレベルより大きい横寸法又は厚さを有する。例えば、裏側インターコネクトメタライゼーションに対して、表側インターコネクトメタライゼーションは、高い割合のCuを有し、主に銅(例えば、大部分がCu又はCuリッチな合金)であってよい。裏側インターコネクトメタライゼーションは、むしろ、主に銅以外(例えば、大部分がCuではない、Cuリーン合金又はCuがない合金)のものであってよい。表側インターコネクトメタライゼーションは、同様に、主に銅以外のものであってよく、一方で、裏側インターコネクトメタライゼーションは、主に銅であってよい。インターコネクトメタライゼーションが銅をベースにしたものではない場合、裏側インターコネクトメタライゼーションは、Ru、Rh、Pd、Ir、Pt、Au、W、Cr又はCoのうちの1又は複数を含む金属/金属合金に適している任意の他であってよい。デバイス階層の表側及び裏側間のメタライゼーション組成の分離は、表側(例えば、Ru)と裏側処理(例えばCu)との間の異なる材料系及び相互接続技術の使用を区画化し得る点で有利である。
任意のレベル(例えば、金属1、金属2など)に関する横方向の相互接続の寸法及び/又は厚さは、デバイス階層の表側と裏側との間で異なっていてもよい。例えば、裏側インターコネクトメタライゼーションを介してトランジスタのソース端子に結合される電力線は、表側インターコネクトメタライゼーションを介して、トランジスタのゲート端子及び/又はドレイン端子に結合される表側インターコネクトメタライゼーションよりも大きい横寸法(例えば、線幅)及び/又は厚さを有してよい。表側インターコネクトメタライゼーションと裏側インターコネクトメタライゼーションとの間の寸法及び厚さの区分は、インターコネクト製造プロセスの自由度を与え得る点で有利である。裏側インターコネクトメタライゼーションが大きい横寸法及び/又は厚さを有するいくつかの実施形態において、裏側インターコネクトメタライゼーションは、銅をベースにしたものであり、一方で、より小さい横寸法及び/又は厚さの表側インターコネクトメタライゼーションは、銅以外(例えば、Ruベース)である。
説明された技術を用いて、非プレーナ型トランジスタ構造1304のソース/ドレイン半導体640の裏側暴露は、プレーナ型トランジスタ構造3904のデバイス領域に対して選択的である。そのようなマスクされた暴露技術は、プレーナ型トランジスタ構造3904の任意のデバイス領域に対して選択的な非プレーナ型トランジスタ構造1304の任意のデバイス領域(例えば、チャネル、ゲート誘電体、ゲート電極など)を暴露するために拡張されてよく、その逆も同様である。さらに、そのような選択的な暴露処理は、(示されるように)セル間ベース、セル内ベース又は領域ベースなどであってよい。そのような選択的な暴露処理は、非プレーナ型トランジスタとプレーナ型トランジスタとの間の差別化を提供する。そのような非プレーナ型及びプレーナ型トランジスタは、本明細書で説明されるように、機能及び/又は極性に基づいて、区別されてもよい。実施形態において、非プレーナ型トランジスタは、論理トランジスタであり、プレーナ型トランジスタは、メモリ及び/又はパワートランジスタである。
図38Cは、いくつかの実施形態に従う、他の非プレーナ型トランジスタに対して選択的な非プレーナ型トランジスタの裏側トランジスタのソース/ドレイン半導体及びコンタクト・メタライゼーションを形成するための方法を示すフロー図3803である。方法3803は、入力3807として、第1及び第2(例えば、電力及び論理)の非トランジスタ構造を含むドナー・ホスト基板アセンブリから始まる。ドナー基板は、本明細書で説明されたフィーチャ、例えば、限定されることはないが、介在層及びキャリア層のうちの1又は複数を有してよい。しかしながら、キャリア層及び/又は介在層は、方法3803を実行するために必要とされない。方法3803の入力時における非プレーナ型の電力及び/又は非プレーナ型論理トランジスタ構造は、完全に動作可能であってよい、又は、1又は複数の端子は、裏側処理が完了するまで非プレーナ型トランジスタ構造が、動作可能とならないように、存在しないくてよい。
図46は、いくつかの実施形態に従う、一方のソース/ドレインメタライゼーション650を欠く非プレーナ型(例えば、電力)トランジスタ構造1304、及び、ソース/ドレインメタライゼーション650の両方を有する非プレーナ型(例えば、論理)トランジスタ構造604の平面図である。非プレーナ型(例えば、電力)トランジスタ構造1304に関して示される太い一点鎖線は、断面図に沿う図48A~図48C、図50A~図50C、図52A~図52C及び図54A~図54Cとしてさらに提供される面を示す。同様に、非プレーナ型(例えば、論理)トランジスタ構造604に関して示される太い一点鎖線は、断面図に沿う図47A~図47C、図49A~図49C、図51A~図51C及び図53A~図53Cとしてさらに提供される面を示す。方法3802を参照して、本明細書で説明される技術を用いて、選択的な裏側処理は、非プレーナ型トランジスタ構造1304に対して裏側処理を提供することなく、非プレーナ型トランジスタ構造1304を提供し得る。
図38Cに戻って、方法3803により提供される裏側処理は、裏側処理が、非プレーナ型トランジスタ構造604(例えば、第2のデバイス)に対して選択的に、それにより、非プレーナ型トランジスタ構造604の各デバイス領域に対して選択的な非プレーナ型トランジスタ構造1304のソース/ドレイン半導体640の裏側を暴露するように、非プレーナ型トランジスタ構造1304(例えば、第1のデバイス)のソース/ドレイン半導体640(例えば、第1のデバイス領域)の裏側暴露を提供する。非プレーナ型トランジスタ構造1304のソース/ドレイン半導体640の裏側暴露は、ソース/ドレイン半導体640、ソース/ドレインメタライゼーション650、ソース/ドレインメタライゼーション650及び/又はソース/ドレイン半導体640からゲート電極673を分離するスペーサ誘電体671、フィールド分離誘電体680及び非プレーナ型トランジスタ構造604のデバイス層215に対して選択的である。方法3803は、非プレーナ型トランジスタ構造1304の任意の1又は複数のデバイス領域に対して選択的な非プレーナ型トランジスタ構造604の任意の1又は複数のデバイス領域の選択的な裏側暴露を代替的に提供してよい。さらに、方法3803は、非プレーナ型(例えば、論理)トランジスタ構造604の任意の1又は複数のデバイス領域に対して選択的な非プレーナ型(例えば、電力)トランジスタ構造1304の任意の1又は複数のデバイス領域の選択的な裏側暴露を提供してよい。
また、工程3834に関して示されるように、方法3803は、暴露されたソース/ドレイン半導体640(例えば、暴露された第1のデバイス領域)の序の方に1又は複数の非天然材料を(例えば、堆積などにより)形成してよい。方法3803の例において、裏側ソース/ドレイン半導体1640及び裏側ソース/ドレインメタライゼーション1650は、暴露された(例えば、暴露された裏側)ソース/ドレイン半導体640の上方に配置される。任意の適切な非天然材料は、方法3803を用いて任意の露出させたデバイス領域の上方に配置されてよい。例えば、非天然材料又は複数の非天然材料は、半導体材料、金属材料又は誘電体材料を含んでよい。
図46に示されるように、いくつかの実施形態において、非プレーナ型パワートランジスタ及び非プレーナ型論理トランジスタは、同じ集積回路に統合されてよい。例えば、非プレーナ型トランジスタ構造1304及び非プレーナ型トランジスタ構造604は、電力及び論理トランジスタとして、それぞれICダイ601上に統合されてよい。図46において、非プレーナ型トランジスタ構造1304に関する数と同様に、非プレーナ型トランジスタ構造604は、本明細書における他の説明に関して同様の構造を示す。
図39に関して説明されるように、非プレーナ型トランジスタ構造1304内にソース又はドレインメタライゼーション650がない場合、ソース/ドレインメタライゼーション650に対するピッチ及び/又は限界寸法の制限、及び/又は、他の表側メタライゼーションレベルが緩和され得、ソース又はドレインメタライゼーション650の欠如は、第3の端子接続が製造されるまで、非プレーナ型(例えば、電力)トランジスタ構造1304が操作不可能であることを表し得る。そのような裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーションは、パワートランジスタ構造に電力レールを結合してよく、トランジスタ構造の階層の両側に電力及び信号(ゲート電極の電圧)ルーティングを設置する。代替的に、裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法3802が、トランジスタ階層の両側に配置されるインターコネクトトレースにソース又はドレイン・トランジスタ端子を巻きつけるために実施され得るように、選択的な裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法3803は、表側から製造されるときに十分に機能的であるトランジスタ構造上で実施されてもよい。
また、本明細書でさらに説明され、図47A~図54Cに対して示されるように、非プレーナ型(例えば、電力)トランジスタ構造1304のそのような裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション中に、非プレーナ型(例えば、論理)トランジスタ構造604は、マスクされ、暴露されないままである。例えば、非プレーナ型パワートランジスタ構造が、表側から製造されるtきに十分に機能的であり、非プレーナ型トランジスタ構造1304の裏側処理が、非プレーナ型トランジスタ構造604の機能に影響を与えないように、選択的な裏側トランジスタのソース/ドレイン・コンタクト・メタライゼーション方法3803は、非プレーナ型トランジスタ構造604上で実行されてよい。
図38Cに戻り、工程3809では、デバイス階層(例えば、介在層又は複数の介在層)が、キャリア層を除去することにより暴露される。いくつかの実施形態において、デバイス層の上方に堆積される任意の介在層の部分及び/又は表側材料は、工程3809中に除去されてもよい。本明細書で説明されるように、介在層は、デバイス階層の裏側のより高均一な露出を容易にし得る。例えば、除去する前に、介在層が、キャリア層及びデバイス層の両方と直接接触していた状態にあるように、介在層は、除去されたキャリア層からデバイス層を分離してよい。先に論じられたように、いくつかの実施形態において、工程3807で受容された非プレーナ型論理トランジスタ構造及び非プレーナ型パワートランジスタ構造含むドナー・ホスト基板アセンブリは、キャリア層を含んでおらず、工程3808は省略されてよい。
方法3802は、工程3813に進み、少なくとも暴露される介在層の厚さが除去される。方法3802の例において、暴露される介在層の厚さは、ポリッシング工程により除去される。しかしながら、暴露される介在層の厚さは、任意の適切な技術又は複数の技術を用いて除去されてよい。例えば、介在層又は複数の介在層のうちの1又は複数のコンポーネント層が除去されてよい。実施形態において、介在層の厚さは、ポリッシング工程により均一に除去される。実施形態において、介在層の厚さは、マスク又はブランケットエッチング処理を用いて除去される。工程3813は、工程3809でキャリア層を除去するために使用されたのと同一のポリッシング及び/又はエッチング処理を使用してよい、又は、工程3813は、別個の処理パラメータを用いた別個の処理であってよい。例えば、介在層は、キャリア除去処理用のエッチングストップを提供し、工程3813は、様々なポリッシング又はエッチング処理を使用してよい。
方法3802は工程3819に進み、裏側分離誘電体が、非プレーナ型論理トランジスタ構造及び非プレーナ型パワートランジスタ構造の裏側の上方に配置される。裏側分離誘電体は、任意の適切な技術又は複数の技術、例えば、誘電体堆積技術を用いて、非プレーナ型論理トランジスタ構造及び非プレーナ型パワートランジスタ構造の裏側の上方に配置されてよい。さらに、裏側分離誘電体は、任意の適切な材料、例えば、二酸化ケイ素、窒化ケイ素、SiOC、SiOCH、HSQ、MSQ、SiONなどであってよい。
方法3802は工程3825に進み、非プレーナ型パワートランジスタ構造内の少なくとも1つのソース/ドレイン領域の裏側が、非プレーナ型論理トランジスタ構造に対して選択的に暴露される。いくつかの実施形態において、裏側分離誘電体は、エッチングマスクを形成するためにパターニングされ、裏側リセスエッチングは、裏側リセスエッチングが、エッチングマスクにより画定されるパターニングを有するように、工程3825で実行される。エッチングマスクを生成するための裏側分離誘電体のパターニングは、任意の適切なパターニング技術、例えば、リソグラフィ技術を用いて実行されてよい。さらに、裏側リセスエッチングは、任意の適切な技術、例えば、ウェット又はドライエッチング技術を用いて実行されてよい。裏側リセスエッチングは、非プレーナ型パワートランジスタ構造(例えば、暴露されないこれらのデバイス領域)の他の領域に対して選択的に、及び、非プレーナ型論理トランジスタ構造(例えば、第2のデバイス)のデバイス領域(例えば、第2の領域又は複数の第2の領域)に対して選択的に、非プレーナ型パワートランジスタ構造(例えば、第1のデバイス)のソース/ドレイン半導体領域(例えば、第1のデバイス領域)を暴露してよい。先に論じられたように、非プレーナ型論理トランジスタ構造のデバイス領域に対する選択は、非プレーナ型論理トランジスタ構造の全体(例えば、すべてのデバイス領域)に対して選択的に提供されてよい。
一旦、非プレーナ型パワートランジスタ構造の選択的なソース/ドレイン半導体領域が暴露されると、方法3803は、工程3834で完了し、この工程では、非天然材料のソース/ドレイン半導体が、裏側から、非プレーナ型パワートランジスタの暴露されたソース/ドレイン領域上又はその上方に堆積され、及び/又は、コンタクト・メタライゼーションは、裏側から加えられたソース/ドレイン半導体の上方に堆積される。ソース/ドレイン半導体及び/又はコンタクト・メタライゼーションは、裏側の暴露された半導体領域の上方に配置され得る非天然材料の例である。示されるように、工程3834は、そのような裏側処理により選択的に暴露されていない非プレーナ型論理トランジスタ構造と統合された裏側ソース/ドレイン半導体の上方に端子の裏側メタライゼーションを有する非プレーナ型パワートランジスタ構造を出力する。
図47A、図49A、図51A及び図53Aは、いくつかの実施形態に従って、方法3803における工程が実行されるときの図46に示されるA‐A'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。図48A、図50A、図52A及び図54Aは、いくつかの実施形態に従って、方法3803における工程が実行されるときの図46に示されるA‐A'面に沿う非プレーナ型トランジスタ構造604の断面図を示す。図47B、図49B、図51B及び図53Bは、いくつかの実施形態に従って、方法3803における工程が実行されるときの図46に示されるB‐B'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。図48B、図50B、図52B及び図54Bは、いくつかの実施形態に従って、方法3803における工程が実行されるときの図46に示されるB‐B'面に沿う非プレーナ型トランジスタ構造604の断面図を示す。図47C、図49C、図51C及び図53Cは、いくつかの実施形態に従って、方法3803における工程が実行されるときの図46に示されるC‐C'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。図48C、図50C、図52C及び図54Cは、いくつかの実施形態に従って、方法3803における工程が実行されるときの図46に示されるC‐C'面に沿う非プレーナ型トランジスタ構造604の断面図を示す。
図47A~図47Cは、いくつかの実施形態に従う、表側処理及び/又は任意のキャリア除去後の例示的な非プレーナ型トランジスタ構造1304に存在する構造の断面図を示す。いくつかの実施形態において、非プレーナ型トランジスタ構造1304は、パワートランジスタである。図47A~図47Cに示される構造的なフィーチャは、同様の参照番号に関して本明細書で説明される特性のいずれかを有してよい。図48A~図48Cは、表側処理及び/又は任意のキャリア除去後の例示的な非プレーナ型トランジスタ構造604に存在する構造を示す。非プレーナ型トランジスタ構造1304がパワートランジスタであるいくつかの実施形態において、非プレーナ型トランジスタ構造604は、論理トランジスタである。図41A~図41Cに示される構造的なフィーチャは、同様の参照番号に関して本明細書で説明される特性のいずれかを有してよい。例えば、図47A~図47C及び図48A~図48Cは、工程3809(図38C)が実行された後の非プレーナ型トランジスタ構造1304及び604の断面図を示す。
図49A~図49C及び図50A~図50Cに示されるように、半導体本体610及び/又は他の構造体、例えば、非プレーナ型トランジスタ構造1304及び非プレーナ型トランジスタ構造604のフィールド分離誘電体680の裏側1012は、介在層210の除去により暴露される。そのような暴露は、任意の適切な技術又は複数の技術を用いて実行されてよい。例えば、トランジスタ半導体本体610の裏側を暴露するために、トランジスタ半導体本体610がアンカー留めされたバルク半導体の部分は、例えば、工程510に関して本明細書で説明されたように、ポリッシングされ、及び/又は、ウェット及び/又はドライエッチング処理を用いてリセスエッチングされ得る(図5を参照されたい)。いくつかの実施形態において、介在層210の裏側ポリッシュは、ポリッシングされたフィールド分離誘電体680が露出したときに停止されてよい。任意の量のオーバーエッチング(又はオーバーポリッシング)が、半導体本体610のサブフィン部分及び隣接するフィールド分離誘電体680を含むデバイス層をさらに薄くするにより、サブフィンの高さHsfを低減するように実行されてよい。例えば、図49A~図49C及び図50A~図50Cは、方法3803の工程3813が実行された(図38Cを参照されたい)後の非プレーナ型トランジスタ構造1304及び非プレーナ型トランジスタ構造604の断面図を示す。
図51A~図51C及び図52A~図52Cに示されるように、エッチングマスク1410(例えば、パターニングされたマスク)は、非プレーナ型トランジスタ構造1304及び非プレーナ型トランジスタ構造604の裏側構造に位置合わせされる。さらに、トランジスタ半導体本体610のかなりの部分(例えば、デバイス層215の一部)の除去が、エッチングマスク1410により提供されるマスクされていない部分内で選択的な暴露リセス1540を提供するように実行される。
図51A~図51C及び図52A~図52Cのコンテキストにおいて、エッチングマスク1410は、ハードマスク層及び分離するために残留する誘電体層である。エッチングマスク1410は、任意の適切な技術又は複数の技術を用いて提供されてよい。実施形態において、裏側分離誘電体(例えば、裏側分離誘電体1120)は、暴露された裏側の上方に堆積される。裏側ゾル化誘電体は、トランジスタ半導体領域を露出するために除去された介在層の一部と置き換える非天然材料の例であり、裏側分離誘電体は、トランジスタの電気的な分離に適している任意の誘電材料、例えば、二酸化ケイ素、低い比誘電率の材料、フィールド分離誘電体680より小さい比誘電率を有する材料、3.9より小さい又は3.5より小さ比誘電率を有する材料、SiOC、SiOCH、HSQ、MSQ、SiN又はSiONなどであってよい。そうして、裏側分離誘電体は、エッチングマスク1410を提供するためにパターニングされる。
示されるように、エッチングマスク1410は、非プレーナ型トランジスタ構造604の全体をマスクする(図51A~図51Cを参照されたい)。さらに、エッチングマスク1410は、選択的な暴露リセス1540によりソース/ドレイン半導体640へアクセスする又は暴露することを可能にする非プレーナ型トランジスタ構造1304の裏側部分を暴露する(図52A~図52Cを参照されたい)ソース/ドレイン半導体に対するアラインメント640は、正確である必要はなく、その結果、ゲート電極673と重なる部分が最小化され又は回避され得る。先に論じられたように、エッチングマスク1410は、裏側1012の暴露の後に堆積される分離誘電体であってよい。また、先に論じられたように、パターニングされないデバイス領域(例えば、マスクされていない領域又は露出された領域)は、次に、適用可能な材料組成に適していることで知られる任意のウェット及び/又はプラズマエッチング処理を用いてリセスエッチングされる。
トランジスタ半導体本体610のかなりの部分の除去の後に、サブフィンの高さHsfは、エッチングマスク1410により保護される非プレーナ型トランジスタ構造1304の領域において維持される。選択的な暴露リセス1540は、任意の深さ及び横寸法であってよい。例えば、選択的な暴露リセス1540は、半導体本体610のサブフィン部分(例えば、介在層210の半導体部分)を完全に除去して、ソース/ドレイン半導体640を露出してよい。示されるように、エッチングマスク1410は、非プレーナ型トランジスタ構造604の裏側の全体をマスクする(図52A~図52Cを参照されたい)。例えば、図51A~図51C及び図52A~図52Cは、工程3819(図38C)が実行された後の非プレーナ型トランジスタ構造1304及び非プレーナ型トランジスタ構造604の断面図を示す。
図53A~図53C及び図54A~図54Cは、p型又はn型の不純物がドープされた裏側ソース/ドレイン半導体1640のエピタキシャル成長又は堆積及び後続の裏側ソース/ドレインメタライゼーション1650の堆積後の非プレーナ型トランジスタ構造1304及び非プレーナ型トランジスタ構造604を示す。示されるように、裏側ソース/ドレイン半導体1640は、ソース/ドレイン半導体640に隣接して配置される、又は、ソース/ドレイン半導体640の上方に配置される。裏側ソース/ドレイン半導体1640は、任意の適切な技術又は複数の技術、例えば、エピタキシャル成長プロセス又は堆積プロセスなどを用いて、ソース/ドレイン半導体640の上方に配置されてよい。例えば、ソース/ドレイン半導体640を形成するために使用される同一のエピタキシャル又は堆積処理は、裏側ソース/ドレイン半導体1640を形成するために使用されてよい。裏側ソース/ドレイン半導体1640は、任意の適切な材料、例えば、限定されることはないが、IV族半導体(例えば、Si、Ge、SiGe)及び/又はIII-V族半導体(例えば、InGaA、InA)及び/又はIII-N族半導体(例えば、InGaN)であってよい。
また、示されるように、裏側ソース/ドレインメタライゼーション1650は、裏側ソース/ドレイン半導体1640に隣接して配置される、又は、裏側ソース/ドレイン半導体1640の上方に配置される。裏側ソース/ドレインメタライゼーション1650は、任意の適切な技術又は複数の技術、例えば、金属堆積プロセスを用いて、ソース/ドレイン半導体1640の上方に配置されてよい。例えば、裏側ソース/ドレインメタライゼーション650を形成するために使用されるのと同一の堆積処理が、裏側ソース/ドレインメタライゼーション1650を形成するために使用されてよい。裏側ソース/ドレインメタライゼーション650は、任意の適切な材料、例えば、Ti、W、Pt又はこれらの合金などを含んでよい。また、示されるように、図53A~図53Cにおいて、非プレーナ型トランジスタ構造604は、裏側ソース/ドレイン半導体もソース/ドレイン・コンタクト・メタライゼーションも非プレーナ型トランジスタ構造604に提供されないように、エッチングマスク1410によりマスクされ続けてよい。例えば、非天然材料の裏側ソース/ドレイン半導体1640及び裏側ソース/ドレインメタライゼーション1650は、非プレーナ型トランジスタ構造1304に対して選択的に提供され得る一方、非プレーナ型トランジスタ構造604には加えられない。例えば、図52A~図52C、図53A~図53C及び図54A~図54Cは、工程3834(図38B)が実行された後の非プレーナ型トランジスタ構造1304及びプレーナ型トランジスタ構造3904の断面図を示す。
図53A~図53C及び図54A~図54Cにより表されるいくつかのさらなる実施形態において、裏側メタライゼーションの余分な表層(over berden)は、ソース/ドレイン・コンタクト・メタライゼーションを用いて、エッチングマスク1410を再露出させるポリッシング(例えば、CMP)により除去され、その結果、選択的な暴露リセス1540を埋め戻すことで閉じ込められる。後続の裏側処理は、少なくともソース/ドレインメタライゼーション1650に電気的に結合する1又は複数の裏側のインターコネクトメタライゼーションレベル(不図示)の製造をさらに含んでよい。そのようないくつかの実施形態において、そのような裏側インターコネクトメタライゼーションは、表側インターコネクトメタライゼーションレベルとは異なる組成であり、及び/又は、裏側インターコネクトメタライゼーションは、表側インターコネクトメタライゼーションの対応するレベルより大きい横寸法及び/又はより大きい厚さを有する。
上記の説明は、表側トランジスタ構造を完成及び/又は修正するために使用され得る様々な裏側処理工程を説明する。そのような処理は、例えば、単体化及びパッケージング用、又は、積層された3Dデバイス階層の実施形態に関する別のデバイス階層との接合用のデバイス階層を準備するために使用されてよい。裏側処理が、デバイス階層の暴露された裏側上の第2のデバイス(例えば、FET、TFET、TFT、STTM)を製造するために拡張されてよいことにも留意される。そのような両面階層の製造は、別の予め製造されたデバイス階層に、暴露された裏側が接合されるウェハレベル階層接合に対する補足又は代替品が考慮され得る。そのような両面階層が、後で別の階層に接合される場合、接合界面は、別のデバイス又は積層されたデバイスの別のペアから、積層されたデバイスのペアを分離する。
所与のデバイス積層体は、積層されたデバイスにより必要とされる処理条件及び/又は材料間の互換性のレベルに応じて接合するときに、両面の漸進的なデバイス製造又は予め製造されたデバイス階層のうちの一方又は他方により適している可能性がある。例えば、高温活性化アニール(例えば、不純物ドーパント注入後のアニール)又は高温半導体成長(例えば、エピタキシャル成長)を必要とする裏側デバイスは、ウェハレベルの裏側接合が好ましい場合、裏側処理条件が表側デバイスに対して弊害をもたらすかもしれないので、裏側処理による漸進的な製造にあまり適していないかもしれない。一方、低温適合デバイス、例えば、多くのTFT、酸化物半導体TFET又はSTTMデバイスは、裏側処理を用いて漸進的に製造されるのによく適している可能性がある。
特に、裏側処理は、すべての表側処理を完了したときに、任意の表側処理の実行又は表側処理の段階間に挿入される前にのいずれかで、順次実行されてよい。並列両面処理が想定可能である一方で、支持(例えば、ドナー又はホスト)基板の実用上の利点は、第2面上の処理を模倣する前に、第1面における実質的にすべての処理の実行に都合がよい。従って、いくつかの実施形態において、裏側が暴露される前に、実質的にすべての表側処理が実行されてよい(例えば、バックエンドメタライゼーションの多数のレベルを通じたすべての方法)。裏側を暴露するときに、実質的にすべての裏側処理が実行されてよい(例えば、バックエンドメタライゼーションの1又は複数のレベルを通じたすべての方法)。異なる表側及び裏側メタライゼーションは、一旦始まると、それぞれ完全にこれらの全体であるそのような完全に直列化された表側及び裏側処理段階で実施されてよい。異なる表側及び裏側デバイスは、このような方式で、実施されてもよい。表側及び裏側処理工程が交互に行われる代替的な実施例では、ドナー基板とホスト基板との間で追加の転写が必要とされてよく、製造プロセスに対する複雑性及び費用を加味する。例えば、すべての裏側処理は、表側デバイスセル製造と表側のバックエンドインターコネクトメタライゼーションとの間に挿入され、表側ホスト基板から裏側ホスト基板への1つの追加の転写が実行されてよい。
上記のように、裏側処理は、表側処理から意図的に差別化されてよい。異なる材料のセット及び/又は処理条件は、表側処理に使用される裏側処理に使用されてよい。例えば、表側メタライゼーションは、第1の金属、例えば、Cuベースの金属(すなわち、主に又は50%より多いCuである金属合金)、及び、Cuベースの金属以外の第2の金属(すなわち、主に又は50%より多いCu以外の金属である金属合金)を使用する裏側メタライゼーションを使用してよい。表側デバイスは、第1の材料系(例えば、半導体組成)を使用してよく、一方で、裏側デバイスは、第2の異なる材料系を使用してよい。この同じ脈絡で、裏側暴露及び後続の裏側処理は、デバイス統合における別の自由度を加味する方式における両面製造プロセスを区画化すべく、様々な表側処理工程に関する製造プロセス内に位置づけられる。例えば、裏側処理は、プレーナ型FETを非プレーナ型FETと統合する手段として、又は、様々な熱履歴を有するデバイスを統合する手段として使用されてよい。例えば、高温処理(例えば、>350℃)は表側処理に分類されるとともに、裏側処理は、低温(例えば、<350℃)に制限される。
デバイス階層の暴露された部分の裏側処理は、表側の製造プロセス中に形成される構造に種を注入することを含んでよい。注入は、表側のバックエンド相互接続が形成される前の時点で、表側処理と統合され得る場合の(例えば、活性化アニールに対する)高温処理を伴い得る処理の一例である。いくつかの実施形態において、ドーパント種が、デバイス及び/又は介在層の暴露された裏側から、デバイス層又は介在層に注入され得る。裏側注入技術は、デバイス構造又は包囲構造の1又は複数の非半導体領域の組成を修正する手段として、裏側暴露処理を活用してよい。例えば、誘電体の部分(ゲートスペーサ、ゲート誘電体など)又は金属(例えば、ゲート金属、ソース/ドレイン・コンタクト金属など)は、それらが表側処理により製造された後に変更されてよい。裏側注入による材料修正は、微細構造修正(例えば、アモルファス化)及び/又は組成修正の形式を取ってよい。そのような材料修正は、例えば、後続の選択的な材料の除去又は成長の基礎として使用されてよい。
注入技術は、表側処理中に形成された半導体構造の電気的な特性を修正する手段として、裏側暴露処理を活用してもよい。裏側暴露後の注入は、1又は複数の半導体構造へのドーパントの導入を事実上遅延させてよく、所与のデバイスに対する熱履歴を改善し、及び/又は、ドーパント拡散のプロファイルをシャープにする。裏側暴露の注入工程後は、(例えば、基板の薄型化又は除去を通じて)ドープされる半導体領域の裏側が暴露されるまで、デバイスの表側からアクセス不可能となり、デバイスの裏側からもアクセス不可能となる半導体領域のドープが可能であってもよい。裏側暴露の注入工程後は、能動デバイス領域(例えば、FETのチャネル、ソース、ドレイン)を修正し、能動デバイス領域に対する裏側結合を可能にし、又は、能動デバイス領域の裏側分離を促進してよい。活性化アニールを必要とする裏側暴露の注入工程後は、活性化アニール温度と適合するフロントエンドデバイス処理と、低温処理に限られた処理、例えば、表側インターコネクトメタライゼーションとの間で実行されてよい。活性化アニールを必要とする裏側暴露後の注入工程は、すべてのフロントエンドデバイス処理が完了した後に実行されてもよく、活性化アニールが、ドナー・ホストアセンブリの厚さにわたって大きな温度勾配を維持する熱処理を伴う表側インターコネクトメタライゼーションを含む。例えば、ホスト基板は、400℃よりも十分に低い第1の温度で維持されてよく、一方で、熱は、デバイス層の暴露された裏側表面に急速に加えられる。
図55は、いくつかの実施形態に従う、半導体デバイス構造へのドーパントの裏側注入を含む裏側処理方法を示すフロー図5501である。方法5501は、わずか数百ナノメートルの厚さに過ぎない可能性があるデバイス(例えば、トランジスタ)セル階層の裏側処理をさらに例示する。方法5501は、入力5505として、デバイス層を含むドナー・ホスト基板アセンブリから始まる。ドナー基板は、上記のフィーチャ、例えば、限定されることはないが、介在層及びキャリア層のうちの1又は複数を有してよい。しかしながら、特に、キャリア層及び/又は介在層は、方法5501を実行するために必要とされない。工程5510では、デバイス層又は介在層の裏側は、裏側暴露処理中に露出される。いくつかの実施形態において、工程5510で実行される裏側暴露処理は、方法501(図5)の工程のうちの1又は複数を含む。裏側暴露処理は、例えば、少なくともドナー基板(例えば、キャリア層)の一部において除去することにより、デバイス層又は介在層を暴露してよい。次に、1又は複数のドーパントが、暴露されたデバイス層及び/又は介在層に注入される。出力5515は、裏側ドープ層を有するデバイスセルを含み、デバイス構造の裏側からのドーパント種の導入を示す、及び/又は、表側構造の製造後のドーパント種の導入を示すドーパントプロファイルを有することが期待され得る。ドーパントプロファイルは、例えば、デバイス構造の表側の近位よりも、デバイス構造の裏側の近位に、より高い種濃度があることを示してよい。裏側から導入されたドーパント種は、裏側注入処理前の表側処理中に形成された半導体構造を包囲する材料内に存在し得る。包囲表側構造の図におけるこれらの材料内のドーパント種のドーパント種及び/又はプロファイルを含む材料の組み合わせは、方法5501の実施形態に従う裏側暴露後の注入処理を示してよい。
図56A、図57Aは、いくつかの実施形態に従って、方法5501における工程が実行されるときの図6に示されるA‐A'面に沿うトランジスタ構造604の断面図を示す。図56B、図57Bは、いくつかの実施形態に従って、方法5501における工程が実行されるときの図6に示されるB‐B'面に沿うトランジスタ構造604の断面図を示す。図56C、図57Cは、いくつかの実施形態に従って、方法5501における工程が実行されるときの図6に示されるC‐C'面に沿うトランジスタ構造604の断面図を示す。
図56A~図56Cは、ドナー基板の表側処理に続く例示的なトランジスタ構造に存在する構造を示す。半導体本体610は、縦方向(例えば、z次元)に伸びるフィン構造である。半導体本体610は、デバイス層215を有するチャネル部を含む。図56A~図56Cに示される実施形態において、半導体本体610は、デバイス層215(例えば、Si)と同じ半導体組成を有するサブフィン部分をさらに含む。半導体本体610は、例えば、デバイス層215のパターニングされた前側リセスエッチングを用いて形成されていてよい。さらに本明細書の他の場所で説明されるように、半導体フィン本体は、サブフィン半導体が介在層210のコンポーネント(図3A)であってよい一方で、デバイス層215がデバイスチャネル内に存在するのみであってよい場合、チャネル部とは異なる組成のサブフィン半導体を代替的に含んでよい。代替的に、サブフィン半導体は、デバイス層215と裏側基板との間のスペーサを考慮してよく、サブフィン半導体とキャリア層との間の介在層をさらに含んでよい。フィールド分離誘電体680は、半導体本体610の1又は複数の側壁を包囲する。トランジスタ半導体本体610のチャネル部と交差するゲート誘電体845の上方に配置されるゲート電極673を含むゲートスタックが図56A及び図56Bにさらに示され、一方で、ソース/ドレイン半導体640を有するソース/ドレインメタライゼーションの交差が図56Cに示される。
図56A~図56Cにおいて、介在層210の裏側表面3911は、任意の技術、例えば、限定されないが、方法501(図5)により暴露されている。マーカ又はエッチングストップは、例えば、フィールド分離誘電体680の裏側を暴露する前のキャリア除去工程を終了させる第1の介在層210内に存在している。図示されていないが、例えば、工程520(図5)に関して実質的に上述したように、トランジスタ半導体本体610の裏側は、トランジスタ半導体本体610が、アンカー留めされるバルク半導体の部分をさらに除去することにより暴露されてよい。介在層210(又は本体610)の裏側表面が暴露された状態で、裏側注入5610が実行される。裏側注入5610は、半導体本体610に注入されるとともに、同様に包囲材料、例えば、フィールド分離誘電体680に注入されるドーパント種を有するブランケット注入であってよい。ブランケット注入は、ドーパントを受け取るためにすべての暴露された部分を有する本体610の表側のパターニングを活用する。すべてより少ない本体610が注入を受け取る場合、裏側注入5610は、選択的に(マスクされた)注入であってよい。裏側注入は、半導体本体610の組成に適していることで知られる任意のドーパント種、ドーパントレベル及び注入エネルギー準位を利用してよい。いくつかの実施形態において、裏側注入は、n型又はp型の導電性をドープされた半導体に与えるために、周辺(ambient)(例えば、400~800℃)より上の任意の温度で、後続の熱アニールにより電気的に活性化され得る不純物種の注入を伴う。
図57A~図57Cは、1又は複数の裏側注入によりドープされている半導体領域を含むトランジスタ構造を示す。示されるように、介在層210、及び、半導体本体610のサブフィン部分は、ドーパント種でドープされており、それにより、各半導体本体610のデバイス層215から介在層210を差別化する。そのような裏側の不純物ドープは、ウェル構造、例えば、限定されないが、例えば、p型ソース/ドレイン半導体640を有するPMOS FETの製造に適しているnウェルを形成するために使用されてよい。そのような裏側の不純物ドープは、パンチスルーストッパとして使用されてもよい。いくつかの代替的な実施形態において、介在層210のみが裏側注入によりドープされ、半導体本体610のサブフィン部分はドープされない。他の代替的な実施形態において、サブフィン領域の一部のみが裏側注入によりドープされる。さらに他の実施形態において、複数の裏側注入が、半導体本体610及び介在層210内又はこれらの間で、ドーパント勾配及び/又は相補的なドープされた半導体接合を実現するために実行される。例えば、p型及びn型接合は、裏側注入を通じて形成されてよく、半導体本体610の裏側部分は、軽度から中程度の「p」ドープにドープされ、一方で、ソース/ドレイン半導体640が高濃度ドープn型である。例えば、p型及びn型接合は、裏側注入を通じて形成されてよく、半導体本体610の裏側部分は、軽度から中程度「n」ドープにドープされ、一方で、ソース/ドレイン半導体640は、高濃度ドープp型である。半導体本体610のそのような相補的な裏側ドープは、介在層210を通じたフィン間のオン状態リークを低減するように機能してよい。介在層210が除去され、フィン間リークが大して心配でない実施形態に関して、半導体本体610の裏側ドープが、p/nダイオードからトランジスタの表側処理を区別しない製造フローに、ダイオードを製造する手段を提供してよい。
いくつかの実施形態において、裏側注入処理は、複数の選択的な注入工程を伴う。例えば、第1の裏側注入は、第1の半導体(例えば、図57A~図57Cの第1の本体610及び介在層210)を第1の導電型(例えば、p型)にドープしてよい。第2の裏側注入は、第2の隣接する半導体領域(例えば、図57A~図57Cの第2の本体610及び介在層210)を第2の相補的な導電型(例えば、n型)にドープしてよい。2つの相補的にドープされた半導体領域は、例えば、介在層210内にP/N接合を形成してよい。接合の相補的な端部に対する端子は、表側及び/又は裏側メタライゼーションを通じてよい。ある表側メタライゼーションの実施形態において、p型のドープされたソース/ドレイン半導体640(例えば、PMOS FET)を有する第1のトランジスタ構造は、p型サブフィン及びp型サブフィンを包囲するp型介在層(例えば、図57A~図57Cの第1の本体610、及び、介在層210の一部)を有するために、裏側に注入される。裏側のp型ドープは、p型のドープされたソース/ドレイン半導体640を通じて表側メタライゼーションに電気的に結合されてよい。ゲート電極673は、ダイオードのコンテキストにおいて、この場合、残留構造になり得る。n型のドープされたソース/ドレイン半導体640(例えば、NMOS FET)を有する第2のトランジスタ構造は、n型サブフィン及びn型サブフィンを包囲するn型介在層(例えば、図57A~図57Cの第2の本体610、及び、介在層210の一部)を有するように裏側に注入される。裏側のn型ドープは、n型のドープされたソース/ドレイン半導体640を通じて表側メタライゼーションに電気的に結合されてよい。p型の介在層領域とn型の介在層領域との間の界面は、ダイオードのP/N接合を画定する。代替的に、p型の介在層領域は、p-i-nダイオードを画定するために、介在層の真性な(ドープされていない)部分により、n型の介在層領域から分離されてよい。
いくつかの実施形態において、デバイス階層の暴露された部分の裏側処理は、半導体デバイス層の裏側の上方に半導体材料をエピタキシャル成長させることを含む。エピタキシャル成長は、高い処理温度を伴い得る裏側処理の別の例であり、そのため、デバイス階層のすべて材料との適合のための表側処理に対して実施されてよい。そのようないくつかの実施形態において、ドープされたソース/ドレイン半導体は、裏側コンタクト及び/又はインターコネクトメタライゼーションの製造と共に、デバイス層の裏側上でエピタキシャル成長されてよい。他の実施形態において、裏側処理は、裏側暴露処理中に除去される他の半導体材料を置き換える半導体材料のエピタキシャル成長を含む。エピタキシャル成長された半導体は、裏側暴露処理中に除去されたものとは異なる組成及び/又はより良い結晶品質のものであってよい。高温処理を実行するために、裏側暴露及び裏側エピタキシャル成長は、例えば、表側メタライゼーションの前に発生させるために実施されてよい。特に、表側デバイス層の裏側での半導体のエピタキシャル成長は、例えば、本明細書のさらに他の場所で説明されたように、様々な裏側デバイス構造についての後続の漸進的な裏側製造においてさらに利用されてよい。
代替的に、低温堆積は、多結晶(例えば、マイクロ又はナノ結晶)又はアモルファス半導体層、例えば、限定されないが、暴露されたデバイス層の裏側上方の酸化物半導体層(例えば、IGZO)を形成するために使用されてよい。任意の薄膜トランジスタ(TFT)の製造プロセスは、次に、裏側TFT回路を形成するために、この裏側薄膜半導体を利用してよい。
図58は、いくつかの実施形態に従う、実質的に単結晶の裏側半導体層のエピタキシャル成長又は接合を含む裏側処理方法を示すフロー図5801である。多結晶又はアモルファス半導体は、例えば、より低温(例えば、100~400℃)で形成されてもよい。方法5801は、わずか数百ナノメートルの厚さに過ぎない可能性があるデバイス(例えば、トランジスタ)セル階層の裏側処理をさらに例示する。方法5801は、入力5805として、デバイス層を含むドナー・ホスト基板アセンブリから始まる。ドナー基板は、上記のフィーチャ、例えば、限定されることはないが、介在層及びキャリア層のうちの1又は複数を有する。しかしながら、特に、キャリア層及び/又は介在層は、方法5801を実行するために必要とされない。工程5810では、デバイス層又は介在層の裏側は、裏側暴露処理中に露出される。いくつかの実施形態において、工程5810で実行される裏側暴露処理は、方法501(図5)の工程のうちの1又は複数を含む。裏側暴露処理は、例えば、少なくともドナー基板(例えば、キャリア層)の一部を除去することにより、デバイス層又は介在層を暴露してよい。1又は複数の半導体層は、次に、暴露されたデバイス層上で成長され又は堆積される。代替的に、1又は複数の半導体層は、例えば、半導体酸化物の接合界面で、暴露されたデバイス層に接合される。出力5815は、デバイス層215の裏側の上方に配置される単結晶の半導体材料を有するデバイスセルを含む。代替的に、低温堆積が使用される場合、方法5801の生産物は、デバイス層215の裏側の上方に配置される多結晶又は非結晶の半導体材料を有するデバイスである。
図59A、図59B、図59Cは、いくつかの実施形態に従う、方法5801のいくつかの工程がドナー・ホストアセンブリ203上で実行されるときのIII-N半導体デバイス階層の断面図を示す。図59Aに示されるように、ドナー・ホストアセンブリ203は、ドナー基板201を含み、例えば、図4A~図4Cに関して実質的に上述したように、そこの間に配置された任意の表側積層体690と共に、ホスト基板202に接合される。表側積層体690は、制限なく変化し得、例えば、任意の数のバックエンドインターコネクトメタライゼーションレベルを含み得るデバイスセル階層の一部として破線で示される。ホスト基板202は、例えば、本明細書の他の場所で説明される特性のいずれかを有してよい。示されるように、ホスト基板202は、例えば、熱圧着接合により、表側積層体690の表側表面に接合される。裏側デバイス層処理がどのように多くの表側デバイス層処理に先行し得るかをさらに示す実施形態において、デバイス端子は、デバイス層215内にまだ形成されていない、又は、まだ結合されていない。
図59Bにさらに示されるように、ドナー基板201は、除去されており、介在層210の裏側表面を露出させており、介在層210は、分離誘電体480により包囲される半導体アイランドを含む。ドナー基板201は、任意の技術、例えば、限定されることはないが、方法501(図5)により、薄く及び/又は除去され得る。半導体の露出面は、多数の欠陥440を有する可能性があり、例えば、ヘテロエピタキシャル成長中に伝搬される。次に、介在層210は、デバイス層215から除去されてよく、デバイス層215の裏側を露出する。介在層210は、半導体及び分離誘電体480の両方が除去され得る場合、CMPにより除去されてよい。代替的に、介在層210は、分離誘電体480が保持され得る場合、半導体に対して選択的なエッチング処理を用いて除去されてよい。いくつかの実施形態に関して、(例えば、デバイス層215がGaNである場合)デバイス層215の裏側表面は、介在層210の一部として除去された半導体よりも、著しく低い欠陥密度(すなわち、より良い結晶品質)を有する。
次に、半導体層5915は、例えば、選択された半導体材料に適していることで知られる任意のエピタキシャル成長又は堆積技術を用いて、デバイス層215の裏側表面上に堆積又は成長される。半導体層5915は、保持されていた場合、分離誘電体480における開口内に成長され又は堆積されてもよい。デバイス層215が、高品質なシード表面を提供するので、再成長された半導体層5915の品質も高く、少ない結晶欠陥440を有する。高温処理(例えば、III-V族の実施形態については、900℃を超える)であり得る裏側エピタキシャル成長後に、裏側処理及び/又は表側処理は、デバイス層215内及び/又はエピタキシャル成長された半導体層5915内のデバイス(例えば、HFET)を製造するために、低温処理を用いて継続してよい。特に、半導体層5915は、デバイス層215と同一の組成を有する必要がない。デバイス層215と半導体層5915との間の組成の差は、例えば、格子不整合工学技術を通じて層215又は5915にひずみを与えるために活用されてよい。デバイス層215がGaNであるいくつかの実施形態において、半導体層5915は、デバイス層215とは異なる格子定数を有するIII-N合金である。デバイス層215がSiであるいくつかの実施形態において、半導体層5915は、デバイス層215とは異なる格子定数を有するIII-V又はIV族合金である。そのような実施形態に関して、半導体層5915は、デバイス層215に単軸及び/又は2軸ひずみを与え得る点で有利であり、その逆も同様である。
さらに他の実施形態において、デバイス層215は、III-N合金であり、エピタキシャル成長された半導体層5915は、遷移金属ジカルコゲニド(TMD又はTMDC)である。グラフェンに類似して、TMDCは、MX2の単層シートとしての半導体特性を示し、Mは、遷移金属原子(例えば、Mo、W)であり、Xは、カルコゲン原子(S、Se又はTe)である。単層の結晶性シートにおいて、M個の原子からなる1つの層は、X個の原子からなる2つの層の間に配置される。TMDCシートの成長の後に、裏側処理は、任意の既知のアーキテクチャを有するTMDCチャネル型トランジスタを製造することをさらに含んでよい。
いくつかの実施形態において、裏側半導体は、縦方向に積層されたデバイス又は縦方向に配向されたデバイスの製造中に、エピタキシャル成長され、又は、多結晶又は非結晶の形式で堆積される。いくつかの例示的なアーキテクチャに関して、表側デバイス層は、ドナー基板上でエピタキシャル成長され、次に、ドナー基板の除去のときに、裏側暴露が、第2の裏側デバイス層の再成長のために有利な結晶性を有する半導体の層を露出させ得る。デバイス階層内の表側及び裏側デバイス層は、次に、縦方向に配向されたトランジスタの機能領域を分離するために使用されてよい、又は、2つの縦方向に積層され、横に配向されたトランジスタに対して使用されよい。多くの縦型デバイスアーキテクチャにより直面する1つの課題は、デバイスの両端でのデバイス端子の製造であり、表側処理のみに頼る場合に困難である可能性がある。しかしながら、本明細書で説明される裏側暴露技術は、一旦暴露されると、表側からエピタキシャル成長されたデバイスの第1の部分及び裏側からエピタキシャル成長されたデバイスの第2の部分を用いて、「ボトムアップ」形式のデバイス製造から「中央から外側への」デバイス製造へのパラダイムシフトを可能にする。そのため、例示的な縦方向のFETは、トランジスタチャネルを提供するデバイス層の表側上にソース(ドレイン)半導体をまず形成することにより製造されてよい。裏側暴露処理後に、デバイス層の裏側上に形成され得るドレイン(又はソース)半導体は、次に、裏側メタライゼーションに結合される。同様に、例えば、トランジスタベースを提供するデバイス層の表側上にエミッタ(コレクタ)半導体をまず形成することにより、バイポーラトランジスタが製造されてよい。裏側暴露処理の後に、コレクタ(又はエミッタ)半導体は、次に、デバイス層の裏側上に形成される。
図60A、図60B、図60Cは、いくつかの積層されたデバイス層の実施形態に従う、方法5801におけるいくつかの工程が実行されるときの半導体デバイス層の断面図を示す。方法5801は、例えば、図59Aに関して実質的に上述したように、ホスト基板202及びドナー基板201を含むドナー・ホストアセンブリ203を受容することから始める。しかしながら、図60Aにより示される実施形態において、ドナー・ホストアセンブリ203は、コア半導体デバイス層215Aの上方に表側デバイス層215Bを含む。コアデバイス層215Aの半導体材料は、フィールド分離誘電体6080により包囲されるフィーチャにパターニング(例えば、フィン、ピラー、ドットなど)されている。表側デバイス層215Bの半導体フィーチャは、コアデバイス層フィーチャの上方にある。表側積層体690は、表側デバイス層215Bの上方にある。いくつかの実施形態において、表側デバイス層フィーチャが、不純物をドープされたソース/ドレイン半導体である場合、表側積層体690は、表側ソース/ドレイン・コンタクト金属を含んでよい。表側積層体690は、コア半導体層フィーチャに結合されるゲート電極をさらに含んでよい。裏側処理中に、コアデバイス層215Aの裏側が暴露される。例えば、図60Bにさらに示されるように、ドナー基板205及び介在層210は、裏側暴露工程中に除去されており、コアデバイス層215A及びフィールド分離誘電体6080を露出する。図60Cに示されるように、裏側半導体層5915は、次に、コアデバイス層215Aの裏側表面上に、(例えば、選択的に)エピタキシャル成長され又は堆積される。半導体層5915は、表側デバイス層215Bから独立又は表側デバイス層215Bに依存した横方向に配向又は縦方向に配向されたデバイスを形成するために、成長され又は堆積されてよい。次に、裏側積層体6090が製造され、及び/又は両面階層に接合され、裏側半導体層5915内のフィーチャを相互接続する。例えば、裏側積層体6090は、裏側ソース/ドレイン・コンタクト金属を含んでよい。
いくつかの実施形態において、コアデバイス層215Aは、表側デバイス層215Bと裏側半導体層5915との間に電気的な分離を提供する。例えば、コアデバイス層215Aは、電荷キャリアが、それぞれ表側及び裏側デバイス層215B、5915内に閉じ込められるように、伝導帯及び価電子帯のうちの一方又は両方における広いバンドギャップ及び/又はバンドギャップオフセットを有してよい。同種の半導体の実施形態は、表側デバイス層215Bと裏側半導体層5915との間にドーパント接合分離を提供するために、コアデバイス層215Aに依存してもよい。そのようなアーキテクチャに関して、表側積層体690は、表側デバイス層215Bを使用する表側の横方向に配向されるデバイス(例えば、FET)のすべての端子(例えば、ゲート、ソース及びドレイン)を提供してよい。同等の裏側積層体は、裏側半導体層5915を使用する裏側の横方向に配向されたデバイス(例えば、FET)に、すべての端子(例えば、ゲートソース及びドレイン)をさらに提供してよい。
裏側エピタキシャル成長又はアモルファス/多結晶膜蒸着の代替として、裏側半導体構造は、例えば、表側フィンを包囲するフィールド分離誘電体の裏側に選択的に窪みを作ることにより表側フィン構造のサブフィン部分を露出することにより、フィンとして製造され得る。代替的に、裏側半導体本体は、裏側暴露中に露出された介在半導体層からパターニングされてよい。そのような実施形態に関して、裏側エピタキシー及び/又は裏側注入は、裏側ソース/ドレイン半導体領域を含む裏側半導体層5915を形成するために用いられてよい。
図61Aは、いくつかの積層されたFETの実施形態に従う、プレーナ型裏側トランジスタ構造6104を用いて積層された、図6に示されるA‐A'面に沿うトランジスタ構造604の断面図を示す。図61Bは、いくつかの積層されたFETの実施形態に従う、プレーナ型裏側トランジスタ構造6104を用いて積層された、図6に示されるB‐B'面に沿うトランジスタ構造604の断面図を示す。いくつかの実施形態において、裏側トランジスタ構造6104は、トランジスタ構造604の暴露された裏側から漸進的に製造される。そのような実施形態に関して、裏側暴露は、裏側製造からの表側の製造を区画化するだけでなく、プレーナ型FETの製造処理からの非プレーナ型FETの製造処理も区画化する。代替的な実施形態において、裏側トランジスタ構造6104は、例えば、接合界面6199において、トランジスタ構造604の暴露された裏側に接合される第2のデバイス階層として作製済みかつ転写済みである。
特に、プレーナ型FETが、多くの適用(例えば、高電流電力FET)にとって有利である一方で、プレーナ型FETの製造は、多くの場合、基板の異なる領域に両方のトランジスタアーキテクチャを組み込むことを困難にするFinFETの製造とは互換性がない。裏側暴露技術により可能とされる両面処理を用いて、例えば、これらが本明細書の他の場所で説明されるように、プレーナ型FETの製造は、FinFETの製造と順次統合されることができる。
図61Aに示されるように、表側デバイス層215Aは、A‐A'面に延びる横方向の長さを有する半導体本体610上に配置される。半導体本体610のサブフィン部分及び任意の介在層210が、コアデバイス層215B(例えば、シリコン又は適切に整合した化合物半導体)として機能する。裏側半導体層5915は、コアデバイス層215Bの暴露された裏側表面上にエピタキシャル成長されてよい。半導体層5915は、任意の組成、例えば、デバイス層215Aに提供されるこれらのうちのいずれかであってよい。いくつかの実施形態において、半導体層5915は、デバイス層215Aとは異なる組成を有する。いくつかの実施形態において、半導体層3915は、デバイス層215Aと同一の組成を有する。いくつかの実施形態において、半導体層5915は、デバイス層215Aの導電型に相補的な導電型を有する(例えば、半導体層5915がp型であり、一方でデバイス層215Aがn型であり、またその逆も同様である)。いくつかの実施形態において、半導体層5915は単結晶III-V材料であり、一方で、デバイス層215Aは単結晶シリコンである。他の実施形態において、デバイス層215Aは、単結晶III-V材料であり、一方で、半導体層5915は単結晶シリコンである。いくつかの実施形態において、デバイス層215Aは、シリコンであり、半導体層5915は単結晶III-N(例えば、GaN)である。(例えば、酸化接合界面を用いt)半導体層5915の接合又は裏側エピタキシャル成長に代えて、介在層210は、例えば、介在層210内に配置されるFETチャネル領域6110を有する裏側デバイス層に対する基礎として機能してよい。
裏側ゲート誘電体6145及び裏側ゲート電極6173を含む裏側ゲートスタックは、チャネル領域6110上に配置される。裏側ソース/ドレイン半導体6140は、エピタキシャル成長され、堆積され、又は、そうでなければ、チャネル領域6110の両端に形成され、裏側誘電体スペーサ6171を介在させることにより、裏側ゲート電極6173から電気的に分離される。裏側ソース/ドレイン半導体6140は、任意の組成、例えば、ソース/ドレイン半導体640に対して提供されるこれらのいずれかであってよい。いくつかの実施形態において、ソース/ドレイン半導体6140は、ソース/ドレイン半導体640と同一の組成を有する。いくつかの実施形態において、ソース/ドレイン半導体6140は、ソース/ドレイン半導体640と相補的な導電型(例えば、6140はp型であり、ここで、640はn型であり、その逆も同様である)。いくつかの実施形態において、ソース/ドレイン半導体6140は、ソース/ドレイン半導体640と同一の導電型である。裏側フィールド分離誘電体6180は、フィールド分離誘電体680と同様に能動デバイス構造を包囲する。
特に、表側トランジスタ構造604のゲート長が図61Bに示されるように、A‐A'面に広がるが、裏側プレーナ型トランジスタ構造6104は、図61Aに示されるように、B‐B'面に広がるチャネル長を有する。そのため、積層されたチャネル領域を通じた電流の流れは、平行でなく、有利には直交である。積層されたFETセルの図示された相対的な配向は、低減された寄生(誘導、容量性クロストーク)などの利点を提示し得、平行チャネル電流を結果として生じるFETの配向も可能である。同様に、図61A、図61Bに示される例は、2つの半導体本体610を使用するトランジスタ構造604に実質的に等しいフットプリントを有するプレーナ型トランジスタ構造6104を示すが、表側FinFET及び裏側プレーナ型FETの相対的な寸法は変化してよい。さらに、図61A、図61Bに示される例は、トランジスタ構造604に対して縦方向に位置合わせされるプレーナ型トランジスタ構造6104を示すが、積層されたトランジスタの実施形態は、表側トランジスタ構造と裏側トランジスタ構造との間の任意の横方向のオフセット量を組み込んでよい。
図62Aは、いくつかの積層されたFETの実施形態に従う、裏側トランジスタ構造6204上に積層された、図6に示されるA‐A'面に沿うトランジスタ構造604の断面図を示す。図62Bは、いくつかの積層されたFETの実施形態に従う、裏側トランジスタ構造6204上に積層された、図6に示されるB‐B'面に沿うトランジスタ構造604の断面図を示す。いくつかの実施形態において、裏側トランジスタ構造6204は、トランジスタ構造604の暴露された裏側から漸進的に製造される。代替的な実施形態において、裏側トランジスタ構造6104は、例えば、接合界面6199において、トランジスタ構造604の暴露された裏側に接合される第2のデバイス階層として、予め製造又は転写される。
裏側暴露、半導体層のパターニング、接合及び/又はその後のエピタキシャルなデバイス層の成長又は堆積が、所与のフットプリントに対するトランジスタのゲートの密度を増加させる(例えば、所与のエリアに対するFinFETセルの数を倍にする)ために用いられてよい。図62Aに示されるように、表側デバイス層215Aは、A‐A'面に延びる横方向の長さを有する半導体本体610上に配置される。半導体本体610のサブフィン部分及び任意の介在層210が、コアデバイス層215B(例えば、シリコン又は適切に整合した化合物半導体)として機能する。裏側半導体層5915は、コアデバイス層215Bの暴露された裏側表面上に、エピタキシャル成長されてよい、又は、多結晶又は非結晶薄膜として堆積されてよい。皮膜成長又は堆積は、非プレーナ型裏側半導体本体6210を形成するために、閉じ込められ(又は選択的に行われ)、又は、裏側パターニングプロセスは、エピタキシャル成長された又はエピタキシャルに堆積されない半導体層から、非プレーナ型裏側半導体本体6210を画定するために使用されてよい。いくつかの代替的な実施形態において、介在層210は、むしろ裏側デバイス層として動作してよく、裏側フィン本体内にパターニングされる。
裏側ゲート誘電体6145及び裏側ゲート電極6173を含む裏側ゲートスタックは、裏側半導体本体6210のチャネル領域上に配置される。裏側ソース/ドレイン半導体6140は、チャネル領域6110の両端において、エピタキシャル成長され、エピタキシャルに堆積されない、及び/又は不純物がドープされ、裏側誘電体スペーサ6171を介在させることにより、裏側ゲート電極6173から電気的に分離させる。裏側フィールド分離誘電体6180は、フィールド分離誘電体680と同様に、能動デバイス構造を包囲する。
特に、表側トランジスタ構造604のゲート長は、図62Bに示されるように、A‐A'面に広がるが、裏側トランジスタ構造6204は、図62Aに示されるように、B‐B'面に広がるチャネル長を有する。そのため、積層されたチャネル領域を通じた電流の流れは、平行でなく、有利には直交である。積層されたFETセルの図示された相対的な配向は、例えば、低減された寄生(誘起、容量性クロストーク)を提供し得るという利点があり、平行チャネル電流を結果として生じる積層されたFETの配向も可能である。同様に、図62A、図62Bに示される例は、トランジスタ構造604に実質的に等しいフットプリントを有するトランジスタ構造6204を示すが、表側及び裏側FinFETの相対的な寸法は、変化してよい。さらに、図62A、図62Bに示される例は、トランジスタ構造604に対して縦方向に位置合わせされるトランジスタ構造6204を示すが、積層されたトランジスタの実施形態は、表側トランジスタ構造と裏側トランジスタ構造との間の任意の横方向のオフセット量を再び組み込んでよい。
図63Aは、いくつかの積層されたFETの実施形態に従う、図6に示されるA‐A'面に沿うトランジスタ構造604及び裏側トランジスタ構造6304の断面図を示す。図63Bは、いくつかの積層されたFETの実施形態に従う、図6に示されるB‐B'面に沿うトランジスタ構造604及び裏側トランジスタ構造6304の断面図を示す。これらの実施形態に関して、裏側トランジスタ構造6304は、トランジスタ構造604の暴露された裏側から漸進的に製造される。そのような裏側処理は、表側の製造された半導体本体に追加のFET構造を製造するために、セルフアラインメント技術を活用してよい。従って、表側FET及び裏側FETは、それぞれ、半導体本体の表側及び裏側に配置されるチャネル領域を有する。図62A、Bに示される例とは対照的に、裏側FETセル6304内のチャネル電流は、表側トランジスタ構造604内のチャネル電流と実質的に平行である。そのような実施形態に関して、裏側半導体本体6210は、例えば、本体を包囲するフィールド分離誘電体680の裏側に選択的に窪みを作ることにより本体610のサブフィン部分を露出することによりフィンとして製造されてよい。
代替的に、図62A及び62Bをさらに参照すると、半導体本体6210は、裏側暴露中に露出された介在半導体層からパターニングされてよい。他の実施形態において、半導体本体6210は、裏側エピタキシャル処理中に、本体610の裏側シード表面からエピタキシャル成長されてよい。裏側エピタキシー又は半導体堆積処理は、裏側ソース/ドレイン半導体6140を形成するために用いられてもよい。代替的に、裏側不純物注入が、裏側ソース/ドレイン半導体6140を形成するために使用されてよい。さらに他の実施形態では、半導体本体6210が、裏側TFTの堆積プロセス中に本体610の裏側表面上に堆積されるアモルファス又は多結晶薄膜半導体(例えば、酸化物半導体)から製造されてよい。そのような実施形態に関して、アモルファス又は多結晶半導体の薄膜は、例えば、方法701に従って製造された裏側分離誘電体層の上方に堆積されてよい。
図63A、図63Bによりさらに示されるいくつかの実施形態において、裏側ソース/ドレイン半導体6140は、表側ソース/ドレイン半導体640と直接接触する。示される例において、ソース/ドレイン半導体6140は、表側ソース/ドレイン半導体640と接触させるために、(例えば裏側ソース端子を製造するコンテキストにおいて上述したように)半導体本体610の暴露された裏側を超えて広がる。例えば、ソース/ドレイン半導体6140は、(例えば、方法4901に従って)マスクされた裏側注入を通じて、又は、(例えば、方法5801に従って)裏側半導体堆積又はエピタキシャル成長を通じて形成されてよい。裏側トランジスタ構造6304がTFETであるいくつかの実施形態において、相補的にドープされたソース及びドレインの独立した形成は、直接接触が表側ソース/ドレイン半導体領域のうちの1つのみに行われるように、ソース又はドレインのうちの一方が他よりも深くなることをさらに可能にする。裏側トランジスタ構造6304がTFTであるいくつかの実施形態において、ソース/ドレイン半導体6140は、任意の既知の技術を用いて、半導体薄膜(例えば、酸化物半導体)の一部から形成されてよい。
図64Aは、いくつかの積層されたFETの実施形態に従う、図6に示されるA‐A'面に沿うトランジスタ構造604及び裏側トランジスタ構造6404の断面図を示す。図64Bは、いくつかの積層されたFETの実施形態に従う、図6に示されるB‐B'面に沿うトランジスタ構造604及び裏側トランジスタ構造6404の断面図を示す。これらの実施形態に関して、裏側トランジスタ構造6404は、トランジスタ構造604の暴露された裏側から漸進的に製造される。そのような裏側処理は、表側が製造された半導体本体に追加のFET構造を製造するために、セルフアラインメント技術を活用してよい。
図64A~図64Bに示される例示的な実施形態に関して、表側非プレーナ型FET及び裏側プレーナ型FETは、直接接触する1つのソース/ドレイン半導体を有する。図61A及び図61Bに示される例とは対照的に、裏側トランジスタ構造6404内のチャネル電流は、表側トランジスタ構造604内のチャネル電流と実質的に平行である。この例において、ソース/ドレイン半導体6440は、表側ソース/ドレイン半導体640と接触させるために、半導体本体610の暴露された裏側を超えて広がる。ソース/ドレイン半導体6440は、マスクされた裏側注入を通じて、又は、裏側半導体材料の堆積を通じて形成されてよい。代替的に、ソース/ドレイン半導体640は、半導体本体610の暴露された裏側に広がってよく、その結果、ソース/ドレイン半導体640とソース/ドレイン半導体6440との間の界面のみで裏側トランジスタ構造604のソース/ドレインと電気的に接触している状態にあり、これにより、215B及び5915の接合にある代わりに、図64Bに示されるものから逸脱する。
いくつかの実施形態において、TFETは、表側又は裏側処理を用いて製造され、一方、別のデバイスはTFETの両側において製造される。TFETは、TFETのソース端子及びドレイン端子が反対の導電型であるという以外、従来の金属‐酸化物‐半導体FET(TFT)に類似した構造を有するトランジスタである。従って、任意のTFT又は任意のTFETは、デバイス層の裏側に製造されてよい。共通のTFETデバイス構造は、p‐i‐n(p型-真性-n型)接合から成り、ゲート電極が、真性領域の静電ポテンシャルを制御する。TFETのスイッチング原理は、従来のMOSFETにあるように、バリア上の熱電子放射を変調することに代えて、p-i-n接合に関連付けられるバリアを通じて量子トンネリングを変調することによるものである。したがって、TFETは、低いエネルギーの電子に関する有望な候補である。上記のように、裏側処理は、より低い処理温度に制限されてよく、表側FETを用いて低温処理に適合するTFET又は他のTFTを統合するのに適したものにする。多くの酸化物半導体は、低温で形成されることができ、そのような材料を裏側TFT設計に適したものにする。他のTFT及びTFET材料系(例えば、SiGe及び/又はIII-V合金)に関して、(例えば、600℃を上回る)高い処理温度が必要とされ得、典型的なCMOS回路と互換性がない可能性がある。そのような実施形態に関して、高温処理を必要とするTFT又はTFET構造は、表側処理中に最初に製造され、次に、低温TFT構造が、TFETの裏側を暴露した後の裏側処理中に製造される。
裏側又は表側TFT又はTFETは、任意の表側/裏側デバイス、例えば、FET、別のTFET(又は他のTFT)、メモリセル、HFET、HBT、フォトダイオード、レーザなどを用いて積層されてよい。例えば、トランジスタ構造604、6104、6204、6304、6404のうちの1又は複数は、TFET又はTFTであってよい。いくつかの実施形態において、プレーナ型TFT6404は、非プレーナ型FET604の裏側の上方に製造されてよい。いくつかの他の実施形態において、トランジスタ構造604及び6104、604及び6204、604及び6204、又は、604及び6304は両方ともTFTである。そのようなTFTのうちの1又は複数は、さらに、薄膜トンネリングトランジスタ(例えば、薄膜TFET)であってよい。そのようないくつかの実施形態において、トランジスタ構造604は、n型ソース半導体640、p型ドレイン半導体640及び真性半導体のチャネル領域を有するn型TFETであり、一方、トランジスタ構造6104、6204、6304または6404は、p型ソース半導体6140、n型ドレイン半導体6140及び真性半導体のチャネル領域6110を有するp型TFETである。いくつかの他の実施形態において、トランジスタ構造604は、n型ソース半導体及びドレイン半導体640及び真性半導体のチャネル領域を有するn型FET又はTFTであり、一方、トランジスタ構造6104、6204、6304または6404は、p型ソース半導体及びドレイン半導体6140を有するp型FET又はTFTである。そのようなTFET又はTFT積層体を製造するために、真性半導体(例えば、半導体本体610又は介在層210)の裏側は、例えば、本明細書の他の場所で説明される技術のいずれかを用いて、暴露されてよい。必要に応じて、例えば、裏側注入及び/又は裏側エピタキシャル半導体成長を通じて、接合分離層が形成されてよい。次に、裏側ソース/ドレイン半導体6140は、所望の導電型と共に、(例えば、直列に)形成されてよい。
いくつかのTFETの実施形態において、TFETは、n型導電性を有するn型材料から、p型導電性を有するp型材料を分離するチャネル材料を含む。ゲート誘電体材料は、チャネル材料からゲート電極材料を分離する。いくつかの実施形態において、TFETは、p型材料がソースとして機能し、負電荷キャリアがp型材料内の価電子帯からチャネル材料内の伝導帯へトンネルするn型デバイスである。いくつかの実施形態において、TFETは、p型材料がドレインとして機能し、正電荷キャリアがn型材料内の伝導帯からチャネル材料内の価電子帯へトンネルするp型デバイスである。
裏側TFET又はTFTの実施形態は、それぞれ、TFET又はTFTに適していることで知られる任意の材料を使用してよい。いくつかの実施形態において、p型材料、チャネル材料又はn型材料のうちの少なくとも1つは、半導体酸化物(すなわち、酸化物半導体)である。そのような実施形態は、例えば、それらが低温で堆積され得るので、表側FET後に製造される裏側TFET(又は任意の他のTFTアーキテクチャ)に適合する。いくつかの実施形態において、p型材料、チャネル材料及びn型材料のうちの1つのみが、酸化物半導体であり、一方、他の材料は、非酸化物半導体である。多くの酸化物半導体は、価電子帯に近くで高い欠陥密度を有するが、良好なn型の電気的特性を示す。いくつかの酸化物半導体は、伝導帯に高い欠陥密度を有するが、良好なp型の電気的特性を示す。いくつかの有利な実施形態において、1つの酸化物半導体がp型材料である。他の実施形態において、1つの酸化物半導体がチャネル材料である。さらに他の実施形態において、1つの酸化物半導体がn型材料である。いくつかの実施形態において、p型材料、チャネル材料及びn型材料のうちの2又はそれより多くが酸化物半導体である。そのようないくつかの実施形態において、チャネル材料及びn型材料の両方が酸化物半導体である。いくつかの実施形態において、2つの酸化物半導体材料は、p型材料及びn型材料を含み、2つの酸化物半導体材料は、タイプII又はタイプIIIのバンドオフセットを提供する。さらに他の実施形態において、ソース材料、チャネル材料及びドレイン材料のすべてが酸化物半導体である。
酸化物半導体の使用は、低温TFT(TFET又はFETであってよい)製造を可能にし、材料バンドギャップ及び抵抗率を調整する能力の結果として、優れたトランジスタ特性を示し得る。そのような半導体酸化物は、ある程度の順序(例えば、ナノ結晶化度)を示し得る一方、いくつかの実施形態において、最も低い処理温度に適しており、酸化物半導体はアモルファスである。様々な酸化物半導体が知られている。例は、遷移金属を含む金属酸化物(例えば、IUPACの4~6族)又はポスト遷移金属(例えば、IUPACの11~14族)である。これらの金属酸化物は、亜酸化物(AO)、一酸化物(AO)、二元酸化物(AO)、三元酸化物(ABO)及びこれらの混合物であってよい。いくつかの特定の例は、酸化スズ(SnO2又はSnO)、酸化亜鉛(II)、ZnO、CuOx及びNiOxを含む。いくつかの非酸化物半導体は、比較的低い処理温度で、十分な結晶品質を用いて形成されることもできる。例えば、単結晶Ge及びGeSnは、300~400℃で形成されてよく、一方、他のIV族及びIII-V族材料は、400℃以下の温度の多結晶形及びさらに低い温度の非結晶形で生成されてもよい。
いくつかのTFETの実施形態において、p型材料、チャネル材料及びn型材料のうちの少なくとも1つは、p/i又はn/i接合のうちの少なくとも1つがヘテロ接合であるような他のものとは異なる材料である。いくつかの実施形態において、p型材料は、チャネル材料を用いてヘテロ接合を形成する。いくつかの例示的なn‐TFETの実施形態において、ソースp型材料は、チャネル材料からタイプII(スタッガード)又はタイプIII(ブロークン)バンドオフセットのいずれか一方を有し、高いトンネリング確率、したがって、高いオン状態のドレイン電流という点で有利である。そのようなヘテロ接合を含む実施形態に関して、ドレインn型材料は、チャネル材料を有する第2のヘテロ接合を形成してよい。
いくつかの実施形態において、薄膜トランジスタ(TFT)は、表側又は裏側処理を用いて製造され、一方、別のデバイスはTFTの両側に製造される。代替的に、TFT階層は、別の階層に接合される。上記のように、裏側処理は、より低い処理温度に制限されてよく、表側FETに適した裏側TFTを製造する。任意のTFTは、通常、表側FETの上方の頂部レベルとしてBEOL回路内に使用され、代わりに(又は、追加して)裏側暴露の後に表側FETの裏側上に実装されてよい。そのようないくつかの実施形態に関して、積層されたTFT及びFETは、非プレーナ型FETの裏側上に製造されるプレーナ型TFTを含んでよい。他のそのような実施形態に関して、積層されたTFT及びFETは、非プレーナ型FETの裏側上に製造される非プレーナ型TFTを含んでよい。1又は複数のFET端子は、裏側暴露処理中に露出され得る(例えば、以下の方法1201)ので、裏側TFT端子は、例えば、図64Bに示されるように、表側FET端子に直接接触してよい。TFTの実施形態に関して、低温の裏側堆積プロセスは、非TFTデバイスの実施形態において使用される結晶性半導体よりもむしろ、多結晶又は非結晶半導体膜を形成してよい。従って、裏側半導体(例えば、図64A、図64Bにおける5915)は、単結晶である必要はなく、むしろ、多結晶又は非結晶材料であってよい。同様に、ソース/ドレイン半導体(例えば、図64A、図64B内の6440)は、多結晶又は非結晶であってもよい。一例として、デバイス層5915は、多くの場合、単にIGZOと称される、InGaO(ZnO)を含んでよい。
いくつかの実施形態において、高電圧トランジスタは、表側又は裏側処理を用いて製造され、一方、低電圧デバイスが高電圧デバイスの両側で製造される。上記のように、両面デバイス製造は、プレーナ型トランジスタと非プレーナ型トランジスタとの統合を容易にし得る。いくつかの実施形態において、プレーナ型トランジスタは、高電圧動作用に構成され、一方で、非プレーナ型トランジスタは、低電圧動作に用に構成される。例えば、プレーナ型トランジスタは、非プレーナ型トランジスタよりもゲート幅が著しく大きい寸法であってよい。プレーナ型トランジスタは、非プレーナ型トランジスタよりも、ゲート長が著しく大きい及び/又は、外因性ドレイン長が大きい寸法であってもよい。いくつかの実施形態において、表側FinFETは、裏側プレーナ型FETのソース又はドレインに直接結合されるソース又はドレインを含む。そのような回路は、図61A、図61B及び図64A、図64Bに示されるように、積層されたプレーナ型/非プレーナ型FETを用いて実装されてよい。FinFETとプレーナ型FETとの間の結合は、裏側ソース・コンタクト・メタライゼーションを通じて行われてもよい。そのようないくつかの実施形態において、裏側ソース・コンタクト・メタライゼーションは、1つの裏側プレーナ型FETのドレインに、複数のそのような表側FinFETソースを結合する裏側インターコネクトメタライゼーションにさらに結合される。
上記の横方向に配向された裏側デバイスに加えて、本明細書で説明される裏側処理技術の1又は複数は、例えば、限定されることはないが、ナノワイヤFET、TFET、バイポーラトランジスタ又はメモリセルなど縦方向に配向されたデバイスを形成するために使用されてよい。例えば、コアデバイス層の表側及び裏側の両方における半導体堆積、又は、シード表面からのエピタキシャル成長は、半導体デバイス層又は層の積層体の表側及び裏側の両方に端子を有する縦方向に配向されたデバイスを付加的に製造するために使用されてよい。さらに又は代替的に、裏側メタライゼーションは、1又は複数の縦方向に配向されたデバイス端子を電気的に結合すべく、半導体層の暴露された裏側上に堆積されてよい。図65は、いくつかの例示的な実施形態に従う、例示的な縦方向に配向されたトランジスタ構造6504の平面図を示す。トランジスタ構造6504は、例えば、本明細書で説明された裏側処理工程のうちの1又は複数が後に続く、本明細書で説明された裏側暴露工程のうちの1又は複数を用いて補完された任意の既知の表側処理技術を用いて、方法1201又は4101に従って製造されてよい。
図66は、いくつかの縦方向に配向されたFETの実施形態に従う、図65に示されるA‐A'線に沿うトランジスタ構造6504の断面図を示す。図66に示されるように、トランジスタ構造6504は、ゲート誘電体845及びゲート電極673を含むゲートスタックにより、すべての面で包囲される半導体材料のピラーを含み、例えば、上記の材料のいずれかであってよい。表側メタライゼーション650は、半導体ピラーの表側上に配置される。図66にさらに示されるように、半導体ピラーは、半導体本体610の上方に配置されるソース/ドレイン半導体640を含む。ゲート誘電体845は、半導体本体610からゲート電極673を横方向に分離し、FETチャネルとして動作可能である。図示された実施形態において、表側デバイス層215Aは、半導体本体610と、ソース半導体及びドレイン半導体640とを含む。不純物がドープされソース(ドレイン)半導体640の裏側は、裏側処理中に暴露され、裏側メタライゼーション1650は、例えば、本明細書の他の場所で説明されるように、形成される。代替的に、デバイス層215A(例えば、半導体本体610)のいくつかの他の一部の裏側は、暴露され、次に、裏側デバイス層が、エピタキシャル成長され、そうでなければ、半導体ピラーのz方向の高さに延びるように暴露された半導体面上に堆積される。そのようなエピタキシャル成長は、単一の縦方向に配向されたデバイスの裏側を仕上げ、又は、表側の縦方向に配向されたデバイスを用いて積層される別個の裏側の縦方向に配向されたデバイスを形成してよい。
いくつかの実施形態において、表側及び裏側処理は、縦方向に配向されたTFETを製造するために使用される。トランジスタ構造6504は、例えば、ソース半導体640が、第1の導電型(例えば、n型)を有し、半導体本体610が、チャネル領域として機能する真性半導体である場合、TFETセルであってよい。次に、裏側暴露処理は、半導体本体610の裏側を露出し、第2の導電型(例えば、p型)のドレイン半導体640が、裏側エピタキシーにより成長される、又は、(例えば、低温プロセスを用いて)エピタキシャルに堆積されない。いくつかの他の実施形態において、表側及び裏側処理は、縦方向に配向されたTFTを製造するために使用される。トランジスタ構造6504は、例えば、ソース半導体640が、第1の導電型(例えば、n型)を有し、半導体本体610が、チャネル領域として機能する真性半導体である場合、TFTであってよい。次に、裏側暴露処理は、半導体本体610の裏側を露出し、第1の導電型(例えば、n型)のドレイン半導体640が、(例えば、低温プロセスを用いて)堆積される。
いくつかの実施形態において、表側及び裏側処理は、メモリセルを製造するために使用される。いくつかの実施形態において、メモリセルは、アクセストランジスタ及びメモリ素子を含む。一例として、FETは、表側処理中に製造されてよく、一方で、メモリデバイス、例えば、限定されないが、容量式メモリ素子は、積層された(1T1C)セルに対する裏側処理中に製造されてよい。別の例において、FETは、表側処理中に製造されてよく、一方で、メモリデバイス、例えば、限定されないが、抵抗メモリ素子は、積層された(1T1R)セルに対する裏側処理中に製造されてよい。抵抗素子は、裏側処理中に製造される(例えば、磁気又は強誘電体トンネル接合を含む)電子スピンベースのメモリデバイスであってよい。電子スピンベースのデバイスにより現在直面している課題の一部は、状態を保持するために、トンネル接合において十分な熱力学的安定性を維持することに関する。従って、多くのスピンベースのメモリ製造プロセスは、トランジスタ製造に通常必要とされるレベル(例えば、500~800℃)、及び、バックエンドインターコネクトに対して通常使用されるレベル(例えば、300℃)より低いいくつかのレベルに処理温度を制限する。スピンベースのメモリデバイスがCMOS(FET)回路と統合することを困難にし得るそのような制限は、表側処理中に完了するようにCMOS回路を製造し、裏側処理中に完了するように製造されるスピンベースのメモリデバイスを有するメモリセルに統合されるFETのサブセットの裏側を後で暴露することにより克服することができる。
FET回路に十分に近いスピンベースのメモリデバイスを製造することは、いくつかのメモリ技術において別の課題がある。例えば、FETベースの感知回路により検出されるトンネルデバイスにおける抵抗の変化は、無視できるほど小さい可能性があり、FET回路とトンネルデバイスとの間に非常に多くのルーティングがある場合、寄生線抵抗により無力化されることに対応して影響を受けやすい。そのような困難性は、CMOS回路製造に従うように、裏側暴露及びトンネルデバイス製造を実施することによりすべての表側CMOS回路製造からトンネルデバイスをさらに分離する間に、表側FETの端子と緊密に接触するように製造され得るときに、トンネルデバイスの裏側製造を通じて対処されることもできる。
トンネルメモリデバイスの一例は、スピン移行トルクメモリ(STTM)デバイスであり、トンネル磁気抵抗(TMR)として知られる現象を利用する不揮発性メモリデバイスである。薄い絶縁トンネル層により分離される2つの強磁性体層を含む構造に関して、2つの磁性層の磁化が、平行でない又は反平行配向されている場合よりも、平行配向されている場合のほうが、電子がトンネル層を通じてトンネルする可能性が高い。そのため、トンネリングバリア層により分離される固定磁性層及び自由磁性層を通常有する磁気トンネル接合(MTJ)は、低い抵抗を有する1つの状態及び高い抵抗を有する1つの状態という2つの電気的な抵抗の状態間で切り替えられることができる。処理温度及びルーティング抵抗の両方を制限することは、そのような多くのデバイスを有するメモリアレイを実装するために重要である可能性がある。
図67Aは、いくつかの積層された1T1Rの実施形態に従う、図6に示されるB‐B'面に沿うトランジスタ構造604及び裏側STTMデバイス6704の断面図を示す。そのような1T1Rセルに対する適用は、埋め込み型メモリ、埋め込み型不揮発性メモリ(NVM)、磁気ランダムアクセスメモリ(MRAM)及び非埋め込み型又はスタンドアロンメモリを含む。これらの例示的な実施形態に関して、表側非プレーナ型トランジスタ構造604のソース又はドレイン端子及びSTTMデバイス6704の電極は、直接接触している。そのような直接接触は、選択的な裏側処理(例えば、ソース/ドレイン半導体1640及び裏側メタライゼーション1650の裏側形成)を通じて容易にされ得る。そのような直接接触は、選択的な表側処理(例えば、ディープソース/ドレイン半導体640の表側形成)及び裏側メタライゼーション1650を通じて容易されることもできる。そのようないくつかの実施形態において、チタン界面を有する金属は、トランジスタ構造604のソース又はドレイン・コンタクト・メタライゼーションをSTTMデバイス6704の底部電極と結合する。代替的に、1又は複数のレベルの裏側インターコネクトルーティングメタライゼーションは、裏側STTMデバイスの電極と表側FETとの間に配置されてよい。図67Aにおいて、STTMデバイス6704の材料層は、追加の裏側処理を通じて漸進的に構築される、又は、予め製造されたSTTMデバイス6704を含むデバイス階層が、(例えば、任意の既知の層転写処理を用いて)トランジスタ構造604の裏側に接合され得る。例えば、Auなどの接合金属を貫通する接合界面6199は、そのような接合構造を示す。
図67Bは、いくつかの代替的な実施形態に従う、図6に示されるB‐B'面に沿うトランジスタ構造604及び裏側STTMデバイス6704の断面図を示し、STTMデバイス6704は、トランジスタ構造604の暴露された裏側表面に接合されている。そのような実施形態に関して、トランジスタ構造604のソース/ドレイン端子に直接接触させるよりもむしろ、トランジスタ構造604を貫通する充填されたディープビア385が、裏側暴露中に露出され、金属電極6707に電気的接触を行うことに依存する。裏側暴露の後に、接合金属は、トランジスタ構造604の裏側上に堆積されてよく、充填されたディープビア385と接触させる。接合金属は、次に、STTMデバイス6704をトランジスタ構造604に接合する接合界面6199を形成するために、別の接合金属と接合されてよい。STTMデバイス6704は、次に、ドナー基板(不図示)から分離されてよい。充填されたディープビア385は、ソース/ドレインメタライゼーション650と接触するディープビアメタライゼーションの一端と、接合金属と接触するディープビアメタライゼーションの別の端部とを有するトランジスタ構造全体の厚さTまで広がる。
STTMデバイス6704(図67A又は図67B)において、電流誘起された磁化スイッチングが、ビット状態を設定するために用いられてよい。1つの強磁性体層の分極状態は、スピン移行トルク現象を介して第2の強磁性体層の固定された分極状態に対して切り替えられることができ、MTJの状態を(例えば、トランジスタ構造604のドレインから受け取られる)電流の印加により設定することを可能にする。電子の角運動量(スピン)は、1又は複数の構造及び技術(例えば、直流、スピンホール効果など)を通じて分極されてよい。これらのスピン分極された電子は、これらのスピン角運動量を自由層の磁化に移行させて、歳差運動させる。そのため、自由磁性層の磁化は、一定の臨界値を超える電流(例えば、約1~10ナノ秒で)のパルスにより切り替えられることができ、一方で、電流パルスが固定層アーキテクチャに関連付けられたいくらか高い閾値より低い限り、固定磁性層の磁化は、変化しないままである。
STTMデバイス6704は、介在層1410の上方に配置される第1の金属電極6707(例えば、底部電極)を含み、例えば、任意の誘電材料であってよい。金属電極6707は、トランジスタ構造604を通じて表側金属インターコネクト(例えば、ソース線)に電気的に接続されてよく、トランジスタ構造604は、別の表側金属インターコネクト(例えば、ワード線)にさらに接続されてよい。金属電極6707は、積層体又は複数の材料層を有してよい。例示的な実施形態において、FETメタライゼーション1650と接触する金属電極6707の表面層は、チタン(Ti)を含む。そのようないくつかの実施形態において、電極表面層は、チタン窒化物(TiN)を含み、Na‐Cl結晶を有する定比1:1 Ti:Nの格子構成を有してよい、又は、サブ定比l:m Ti:Nの格子構成を有してよく、ここで、mはlより小さい。
STTMデバイス6704は、金属電極6707の上方に配置されるSAF積層体6712をさらに含む。いくつかの例示的な実施形態において、SAF積層体6712は、強磁性材料(例えば、Co、CoFe、Ni)及び非磁性材料(例えば、Pd、Pt、Ru)から成る超格子を形成する第1の複数の二重層6713を含む。二重層6713は、介在する非磁性スペーサ6714により、第2の複数の二重層6715(例えば、p個の[Co/Pt])から分離されるn個の二重層(例えば、n個の[Co/Pt]二重層又はn個の[CoFe/Pd]二重層など)を含んでよい。二重層の数n及びpは、2と8との間であってよく、例えば、等しくする必要はない。二重層6713及び6715の層の厚さは、例えば、0.1~0.4nmの範囲であってよい。スペーサ6714は、6713と6715との間の反強磁性結合を提供する。スペーサ6714は、例えば、1nm厚より薄いルテニウム(Ru)層であってよい。
示される例示的な実施形態において、電極界面材料層又は積層体6710及びシード層6711は、電極6707とSAF積層体6712との間に配置される。シード層6711は、SAF積層体6712における有利な結晶性を促進するために、適切な組成及び微細構造を有する材料である。いくつかの実施形態において、シード層6711は、Ptを含み、実質的に純粋なPtであってよい(すなわち、意図的に合金化されない)。Ptのシード層は、Co/PtベースのSAF構造の下地層として適している。Ptシード層6711は、例えば、1~5nmの厚さを有してよい。電極界面材料層又は積層体6710は、シード層111における(111)テクスチャを有する有利FCC構造を促進するためのものである。下地層により強固にテンプレート化されない限り、Ptシード層は、多くの場合、FCC構造を用いて堆積する。電極界面材料層/積層体6710の存在は、電極6707、例えば、TiNの表面に基づいて、シード層が、その結晶構造をテンプレート化することを防止し得る。そのため、電極界面材料層/積層体6710は、次に、結晶強化層が考慮されてよく、シード層6711が電極6707上に直接堆積される場合に実現される結晶性に対して、シード層6711(及び、SAF積層体6712など)の結晶性を強化する。いくつかの実施形態に従って、電極の界面材料/積層体6710は、CoFeBを含む少なくとも1つの材料層を含む。CoFeBは、物理気相成長により堆積されるときに、アモルファス微細構造を有する傾向にある。シード層6711(例えば、Pt)は、CoFeB材料層6710の存在で、(111)テクスチャを有する所望のFCC結晶構造を形成する。CoFeB内の後続の固相エピタキシャル処理は、次に、シード層6711をテンプレートオフ(template off)してよく、シード層6711の堆積されたときの結晶性を促進するように動作した後に、CoFeBを、アモルファスから(111)テクスチャを有するFCCに変換する。
磁性材料の1又は複数の層を含む固定磁性材料層又は積層体6720は、SAF積層体6712の上方に配置される。トンネリング誘電体材料層6730は、固定磁性材料層又は積層体6720の上方に配置される。自由磁性材料層又は積層体6740は、トンネリング誘電体材料層6730の上方に配置される。自由磁性材料層又は積層体6740は、1又は複数の自由磁性材料層を含む。例示的な実施形態において、自由磁性材料層/積層体6740の上方に配置される誘電体材料層6770は、例えば、金属酸化物(例えば、MgO、VdO、TaO、WO、MoO、HfO)を示す。そのようなキャッピング層は、スピンホール効果(SHE)の実施例には存在しないかもしれない。第2の金属電極6780(例えば、頂部電極)は、キャッピング材料層6770の上方に配置される。金属電極6780は、裏側金属インターコネクト(例えば、ビット線)に電気的に結合されてよい。特に、材料層6707-6780の順序は、トランジスタ構造604に対して反転されてよい。
いくつかの実施形態において、STTMデバイス6704は垂直システムであり、磁性層のスピンは、材料層の平面に対して垂直である(すなわち、磁気容易軸が、デバイスフットプリントの平面の外側のz方向にある)。固定磁性層又は積層体6720は、固定の磁化方向を維持するために好適な複数の材料からなる任意の材料又は積層体から構成されてよく、一方で、自由磁性材料積層体6755は、磁気的に穏やかである(すなわち、磁化が、固定層に対して平行及び反平行状態へ容易に変えることができる)。いくつかの実施形態において、STTMデバイス6704は、CoFeB/MgO系に基づいており、MgOトンネリング材料層6730、CoFeB固定磁性層/積層体6720及びCoFeB自由磁性層6740を有する。有利な実施形態において、すべてのCoFeB層は、体心立方(BCC)(001)の平面外のテクスチャを有し、テクスチャは、STTMデバイス6704の層内の結晶配向の分配を指す。少なくともそのようないくつかの実施形態に関して、高比率のCoFeB結晶は、好ましい(001)平面外配向を有する(すなわち、テクスチャの度合いが高い)。いくつかの実施形態において、(001)配向されたCoFeBの磁性材料層6720及び6740は、増大した磁気垂直性のために、鉄リッチ合金(すなわち、Fe>Co)である。いくつかの実施形態において、Fe含有量は、少なくとも66%である。例示的な実施形態は、20~30%B(例えば、Co20Fe6020)を含む。同量のコバルト及び鉄を用いる他の実施形態も可能(例えば、Co40Fe4020)である。他の磁性材料組成は、固定及び/又は自由磁性層、例えば、限定されないが、Co、Fe、Ni及びこれら金属非ホウ素合金(例えば、CoFe)に可能でもある。固定及び自由磁性層6720、6740の膜の厚さは、0.1~2.0nmであってよい。
トンネリング材料層6730は、大部分のスピンの電流が層を通過することを可能し、一方で、少数のスピンの電流を妨げ(すなわち、スピンフィルタ)、STTMデバイス6704と関連付けられるトンネリング磁気抵抗に影響を与えるために、好適な複数の材料から成る材料又は積層体から構成される。いくつかの例示的な実施形態において、トンネリング材料層6730は、マグネシウムアルミニウム酸化物(MgAlO)である。他の実施形態において、トンネリング材料層6730は、本目的に適していることで知られる任意の材料である。トンネリング材料層6730は、特に、CoFeB/MgO/CoFeBの実施形態に関して、自由磁性材料層140及び/又は固定磁性材料層6720の固相エピタキシーに、結晶化テンプレート(例えば、(001)テクスチャを有する多結晶BCC)をさらに提供してよい。
上記のように、裏側暴露は、ウェハレベルで(例えば、方法101)又は選択的に(例えば、方法3801)のいずれか一方で実行されてよい。前にも述べたように、ウェハレベルの裏側暴露は、表側デバイス(例えば、FET)の階層を、ドナー基板において予め製造されたデバイスの別の階層に接合するのに特に有用であり得る。従って、上記の議論のいくつかでは、どのように裏側処理が、表側デバイスと反対側又は向かい合う裏側デバイス(例えば、FET、TFET、TFT、STTM)を構築するかが説明されてきたが、暴露された裏側への階層のウェハレベルの接合は、デバイス積層体を生成し得る別の技術である。これらの技術のいずれか一方は、表側及び裏側デバイスにより必要とされる処理条件及び/又は材料間の互換性のレベルに応じて、特定のデバイス積層体により良く適している可能性がある。例えば、裏側処理が、表側処理のフロントエンド工程に統合されない限り、高温半導体成長又は熱アニールを必要とする裏側デバイスは、裏側処理による漸進的な製造にあまり適していないかもしれない。
低温適合デバイス、例えば、多くのTFT、酸化物半導体TFET又はSTTMデバイスは、裏側処理によるそれらの漸進的な製造に適しているかもしれないが、他のデバイスは、ウェハレベルの裏側接合を通じてもっと容易に統合されてよい。例えば、スピンベースのメモリデバイスの別の例は、強誘電体トンネル接合(FTJ)は、2つの金属電極間に配置されたFeバリアを含む強誘電体メモリ(FEM)である。そのようなFEMデバイスにおいて、強誘電材料内の分極は、不揮発性の方式で、FTJにわたってトンネリング電流を変調するように制御されてよい。多かれ少なかれ、伝導電子は、強誘電体バリアの分極に応じて、強誘電体バリアを通じて量子‐機械的トンネルをすることができる。トンネル電気抵抗(TER)効果として知られる純粋な電子原理を使ってスピンに依存した搬送特性が制御され得るので、FEMは、新型電子メモリの適用に対する魅力的なオプションである。しかしながら、FEMにおいて、FTJの使用に成功するかどうかは、状態を保持するために、十分な熱力学的安定性を有する接合に再び依存する。FE材料層内のナノ構造の欠陥は、その材料層の界面において、自発分極を著しく損ない、結果的に接合の安定性が低くなることを示す。従って、TER効果を十分に活用するFEMを形成するために、非常に正確な高温エピタキシャル皮膜成長が必要とされ得る。どのようにそのような高品質な強誘電材料が製造可能なメモリビットセルにおいて動作可能なトランジスタと共に提供されるのか、及び/又は、どのようにそのようなメモリが、大規模な論理(CMOS)回路をさらに含むシステムオンチップ(SoC)内に埋め込まれることができるのかが、今のところ明らかでない。例えば、本明細書説明される裏側処理中にそのようなデバイスを製造することは、そのような課題を克服し得る。例えば、トランジスタの裏側の暴露後に、ドナー基板上に別個に形成されるFTJ積層体は、1つのトランジスタ及び1つのスピンベースの双安定又はマルチステート抵抗器を含む積層されスピンベースのメモリのビットセルを形成するために、FETの端子に密に接触されてよい、又は、任意の介在インターコネクトメタライゼーションにされてよい。
図68Aは、いくつかの積層された1T1Rの実施形態に従う、図6に示されるB‐B'面に沿うトランジスタ構造604及び裏側STTMデバイス6804の断面図を示す。これらの例示的な実施形態に関して、表側非プレーナ型トランジスタ構造604のソース又はドレイン端子及びSTTMデバイス6704の電極は、直接接触している。そのような直接接触は、選択的な裏側処理(例えば、ソース/ドレイン半導体1640及び裏側インターコネクトメタライゼーション1650の裏側成長)を通じて容易にされ得る。代替的に、1又は複数のレベルの裏側インターコネクトルーティングメタライゼーションは、裏側STTMデバイスの電極と表側FETとの間に配置されてよい。図68Aにおいて、FEトンネリング層6840に関連付けられる高いエピタキシャル温度に適合するために、予め製造されたSTTMデバイス6804を含むデバイス階層は、(例えば、任意の既知の層転写処理を用いて)トランジスタ構造604の裏側に接合されてよい。例えば、接合金属、例えば、Auを貫通する接合界面6199は、そのような接合構造を示す。
図68Bは、いくつかの代替的な実施形態に従う、図6に示されるB‐B'面に沿うトランジスタ構造604及び裏側STTMデバイス6804の断面図を示し、STTMデバイス6804は、トランジスタ構造604の暴露された裏側表面に接合されている。そのような実施形態に関して、トランジスタ構造604のソース/ドレイン端子に直接接触させるよりはむしろ、トランジスタ構造604を貫通する充填されたディープビア385が、裏側暴露中に露出され、STTM電極6807に電気的接触を行うことに依存する。裏側暴露の後に、接合金属は、トランジスタ構造604の裏側上に堆積されてよく、充填されたディープビア385と接触させる。接合金属は、次に、STTMデバイス6804をトランジスタ構造604に接合する接合界面6199を形成するために、別の接合金属と接合されてよい。STTMデバイス6804は、次に、ドナー基板(不図示)から分離されてよい。充填されたディープビア385は、ソース/ドレインメタライゼーション650と接触するディープビアメタライゼーションの一端と、接合金属と接触するディープビアメタライゼーションの別の端部とを有するトランジスタ構造全体の厚さTまで広がる。
STTMデバイス6804(図68A又は図68B)において、強誘電体トンネリング層6840は、FEトンネリング層6840に近位である金属電極6807とバッファ層6850に近位である別の金属電極6880との間に配置される。いくつかの実施形態において、金属電極6880は、裏側金属インターコネクト(例えば、ビット線)に電気的に結合される。金属電極6807は、トランジスタ構造604を通じて表側金属インターコネクト(例えば、ソース線)に電気的に接続され、トランジスタ構造604は、別の表側金属インターコネクト(例えば、ワード線)にさらに接続されてよい。
FEトンネリング層6840は、強誘電体位相を有し、いくつかの最小動作温度、例えば、室温(例えば、25℃)より高いTER効果を示すことで知られる任意の材料であってよい。いくつかの実施形態において、FEトンネリング層6840は、ペロブスカイト型結晶構造を有し、かつ、単結晶である。FEトンネリング層6840の自発分極場は、FEトンネリング層6840の界面に対して直交するように位置合わせされてよい。例示的なFEトンネリング層の材料は、限定されないが、BiTi12、SrBiTa2O、SrRuO、(Ba、Sr)TiO、BiMnO,BiFeO、PbTiO及びPb(Zr,Ti)Oを含む。いくつかの有利な実施形態において、FEトンネリング層6840は、BaTiC(すなわち、BTO)である。いくつかの実施形態において、FEトンネリング層6840は、5nm未満の厚さ、有利には3nm未満の厚さ、より有利には2nm未満の厚さ(例えば1~1.5nm)を有する。例示的なBTOの実施形態に関して、1つのペロブスカイト単位セルが~0.4nmであるので、FEトンネリング層6840は、2~3個のBTO単位セルほどの厚さを有し得る。
FEトンネリング層6840は、バッファ層6850と直接接触している。バッファ層6850は、多くの機能、例えば、限定されることはないが、FEトンネリング層6840との高品質な結晶界面を維持する機能、FEトンネリング層6840との界面における仕事関数の差を設定する機能、FEトンネリング層内のひずみを調整する機能及びFEトンネリング層6840の転写を容易にする機能を有してよい。いくつかの実施形態において、バッファ層6850は、FEトンネリング層6840と同じ単結晶の一部であるが、異なる組成である。例えば、バッファ層6850は、ペロブスカイト型結晶構造を有してもよい。他の実施形態において、バッファ層6850は、FEトンネリング層6840とは異なる結晶性、例えば、正方晶(ペロブスカイト)ではなくむしろ立方晶を有する。バッファ層6850は、例えば、Fe材料を必要とせず、常誘電体であってよい。いくつかの実施形態において、バッファ層6850は、FEトンネリング層6840の格子定数とは異なる格子定数を有する材料である。いくつかの実施形態において、バッファ層6850は、FEトンネリング層6840より小さい格子定数を有する。より小さい格子定数は、FEトンネリング層6840をひずませるのに有益であり得、FTJに関連付けられた自発分極を増大させるのに有利である。そのような実施形態に関して、FEトンネリング層6840は、バッファ層6850の格子定数に一致させるために、仮像的(pseudomorphically)にひずませられる。例示的なバッファ層材料は、限定されないが、SrTiO、LaGaO、DyScO、GdScO、SmSCo、LaAlSrTi及びKTaOを含む。FEトンネリング層6840がBTOであるいくつかの有利な実施形態において、バッファ層6850は、(La、Sr)MnO(すなわち、LSMO)であり、ペロブスカイト型結晶構造も有する。バッファ層6850は、電気抵抗率を低減するためにドープされ得る点で有利であるが、例示的な実施形態において、バッファ層6850に使用される材料の特定の抵抗率は、金属電極6897、6680の特定の抵抗率よりも著しく高い。いくつかの実施形態において、バッファ層6850はわずか100nmにすぎないが、有利には50nm未満の厚さ、より有利には25nm未満の厚さである。
金属電極6807は、FEトンネリング層6840と共にFTJを形成することで知られる任意の金属材料であってよい。金属電極6807は、有利には、多結晶又は非結晶であり、単結晶ではない。多結晶メタライゼーションは、FEトンネリング層6840の結晶配向に対して、特定の配向に好都合な結晶ドメインの集団を有するテクスチャを有してよい。金属電極6807は、元素金属、これらの合金、酸化物又はこれらの窒化物であってよい。金属電極6807は、バッファ層6850の抵抗の半分より小さい特定の抵抗率を有し得る。いくつかの実施形態において、金属電極6807は、強磁性(FM)材料、例えば、Co、Fe又はこれらの合金である。代替的な実施形態において、金属電極6807は、Pt、In又はIrOであり、これらのいずれかは、脱分極場を低減し得る点で有利である。
金属電極6880は、多結晶又は非結晶であってもよい。金属電極6880の多結晶形は、バッファ層6850の結晶配向に対して、特定の配向に好都合な結晶ドメインの集団を有するテクスチャを有してもよい。金属電極6880は、元素金属、これらの合金、酸化物又はこれらの窒化物であってよい。金属電極6880は、バッファ層6850の抵抗の半分より小さい特定の抵抗率を有してよい。いくつかの例示的な実施形態において、金属電極6880は、Co又はCu又は同等の特定の抵抗率を有する別の金属である。金属電極6880は、任意の厚さであってよい。
いくつかの実施形態において、デバイス階層の暴露された裏側は、熱導管に接合され、階層におけるデバイスの動作中に生成される熱を伝えることが可能な横方向の熱導管を提供するデバイス積層体のフットプリントに隣接するヒートシンクにさらに結合されてよい。第2のデバイス階層は、例えば、図6にも示されるB‐B'に沿う断面図である図69にさらに示されるように、熱導管の対向する側に同様に接合されてよい。図69において、トランジスタ構造604を含む第1のデバイス階層は、例えば、熱導管6980との接合界面6199を形成するトランジスタ構造604の暴露された裏側上に堆積された接合金属を用いて、熱導管6980に接合される。裏側に露出させた表側構造の導電性に依存して、1又は複数の絶縁材料層は、トランジスタ構造604の裏側と接合金属との間に配置されてよい。例えば、図69において、裏側分離誘電体1120は、半導体本体610の暴露された裏側と接触し、接合金属及び熱導管6980から、トランジスタ構造604の半導体領域を電気的に絶縁する。
例えば、熱導管6980は、適切な熱伝導性を有する任意の材料、例えば、結晶シリコンよりも、より良い熱伝導性を有する任意の材料であってよい。いくつかの実施形態において、熱導管6980は、バルク材料、例えば、元素金属又は合金化された金属であり、ヒートスプレッダとして機能する。他の実施形態において、熱導管6980は、より複雑なプレハブ構造を有し、例えば、ヒートパイプとして機能する。熱導管6980は、任意の厚さであってよい。熱導管6980が同種の金属スラブ基板であるいくつかの例示的な実施形態に関して、それは20μmと300μmとの間の厚さを有する。
第2のデバイス階層は、裏側の熱導管の第2面にさらに接合されてよい。そのような実施形態に関して、熱導管は、ひいては、デバイス階層のペアに対するコアとして機能する。ペアになるデバイス階層は、実質的に同一であってよく、例えば、両方がトランジスタ構造を含んでいる(例えば、図69に示される604及び6904)。代替的に、ペアになるデバイス階層は、別個であってよい(例えば、DRAMコンデンサ構造を含む第1のデバイス階層を有し、一方で、第2のデバイス階層がアクセストランジスタ構造を含む)。図69の例において、FETセル6904は、接合界面6199のそれを実質的にミラーリングする接合界面6999を有する熱導管6980の第2面に接合される裏側を有し、デバイス階層間に配置される熱導管6980を用いて、(例えば、B‐B'面に沿う)横方向の熱伝導が、第1のデバイスが、別のもの(例えば、図61A~図68B)と直接接触している直接的なデバイススタッキングに対して改善される。デバイス階層の単体化の後に、熱導管6980は、次に、階層化されたダイに隣接するホスト上に配置されるヒートシンクにさらに結合されてよい。
特に、デバイス構造の電気試験は、本明細書で説明される技術を用いて、裏側構造を暴露することにより容易化され得る。裏側構造は、1又は複数のトランジスタデバイス、電気デバイス又は試験デバイスなどを試験するための任意の適切な構造を含んでよい。例えば、電気テスタによる接触に対して本明細書で用いられるような裏側構造は、電気テスタ又は電気試験装置の導体、プローバ、プローブ要素又は導電ピンなどに対する接触を提供する任意の適切な導電構造又は要素である。裏側構造は、裏側構造が、電気的結合をトランジスタデバイスの端子、電気デバイス、試験デバイス又は複数のそのような端末などを提供するように、ルーティング、トレース又はメタライゼーションなどをさらに提供又は接続してよい。本明細書で用いられるように、構造、例えば、デバイスの端子又は端子に電気的結合を提供する裏側構造又は表側構造は、裏側又は表側構造が端子の連続した部分である(例えば、裏側又は表側の構造及び端子が同一の材料である)、裏側又は表側の構造が端子に対して隣接する(例えば、裏側又は表側の構造及び端子が、直接接触している)、又は、裏側又は表側の構造が端子に電気的に結合されている(例えば、裏側又は表側の構造と端子との間に電気的なルーティング、トレース又は配線などがある)、ことを示す。裏側構造は、任意の技術又は本明細書で説明された適切な技術を用いて、ダイの裏側を介して露出されてよい。同様に、表側構造は、本明細書で説明される任意の適切な技術又は複数の技術を用いて、ダイの表側を介して露出されてよい。そのような裏側構造及び/又は表側構造は、局所的な結合を(例えば、試験中の単一のデバイスに)又はグローバルな結合を(例えば、試験中の複数のデバイスに)提供し得る。
例えば、ダイの電気試験は、ソース端子、ドレイン端子及びゲート端子を有するトランジスタデバイス(例えば、プレーナ型又は非プレーナ型トランジスタ)の電気試験を含んでよい。実施形態において、ソース端子は、ダイの裏側を通って露出させた裏側構造を介して電気テスタに電気的に結合される。実施形態において、ドレイン端子は、ダイの裏側を通って露出させた裏側構造を介して電気テスタに電気的に結合される。別の実施形態において、ゲート端子は、ダイの裏側を通って露出させた裏側構造を介して電気テスタに電気的に結合される。さらに、裏側構造の接触中に、表側構造は、表側構造がトランジスタデバイス、電気デバイス又は試験デバイスなどの別の端子に電気的結合を提供するように、接触される。裏側のみの試験又は裏側及び表側の同時試験のいずれか一方において、トランジスタデバイス、電気デバイス又は試験デバイスなどの端子の接触中に、電気試験は、電気試験データを生成するために、ダイ上で実行され、電気試験データは、記憶装置(例えば、コンピュータメモリ)に格納され、リモートデバイスに転送されるなどであってよい。そのようなデバイスの電気的結合は、結合がデバイスのみに対するものであるというような条件、又は、結合が同時にいくつかのデバイスに対して行われるというような条件、又は、結合が、いくつかのデバイスを使用可能にする1又は複数の端子(例えば、ソース端子)に行われ、一方、結合が、試験中に特定のデバイスのみを使用可能にする別の端子(例えば、ゲート電極)に行われるというような条件であってよい。
実施形態において、ダイを電気試験する方法は、ダイの裏側を通って露出させた裏側構造を、電気テスタのプローバの複数の導電ピンのうちの第1の導電ピンに位置合わせする段階を含む。第1の導電ピンは、次に、裏側構造に接触される。裏側構造は、本明細書で説明される任意の適切な裏側基板であってよく、例えば、プレーナ型トランジスタデバイス又は非プレーナ型トランジスタデバイスなどを試験するための任意のデバイス又は複数のデバイスに対する電気的結合を提供してよい。実施形態において、裏側構造は、裏側ソース又はドレイン・コンタクト・メタライゼーションである。実施形態において、裏側構造は、裏側ゲート電極である。実施形態において、裏側構造は、電気的なルーティングが、トランジスタのソース端子、ドレイン端子又はゲート端子に提供されるように、第1の裏側メタライゼーション層(例えば、金属1層)から成るメタライゼーション構造である。実施形態において、裏側構造は、ダイのトランジスタのトランジスタ端子に電気的結合を提供する。電気試験アルゴリズムは、次に、ダイ(例えば、試験されているダイのデバイス)に対応する電気試験データを生成するために、少なくとも第1の導電ピンを通してダイ上で実行される。例えば、電気試験データは、電気テスタ又は他のデバイスの電子ストレージに格納されてよい。並行して又は順番に、ダイの任意の数のデバイスが試験されてよく、又は、2又はそれより多いダイにわたる任意の数のデバイスが試験されてよい。実施形態において、ダイのすべての対象のデバイス(例えば、試験される予定のデバイス)が、裏側のみの試験又は裏側及び表側の同時試験のいずれか一方を用いて、同時に試験されてよい。実施形態において、(例えば、2又はそれより多い)複数のダイにわたる対象のデバイスが、裏側のみの試験又は裏側及び表側の同時試験のいずれか一方を用いて同時に試験されてよい。
電気試験技術に基づくそのような裏側暴露は、ダイ処理の完了前又はラインの終了(例えば、フロントライン処理の終了)時に提供されてよい。例えば、複数の裏側金属層が最後のデバイスに提供される場合、電気試験は、第1の金属層が、本明細書で説明されるように、電気試験に裏側構造を提供するように、複数の金属層(例えば、8つの金属層)のうちの第1の金属層(例えば、金属1)が適用された後に実行されてよい。さらに又は代替的に、電気試験は、最後の金属層が、裏側構造を電気試験に提供する(例えば、裏側構造が最後の金属層内にあるなど)ように裏側金属積層体(例えば、複数の金属層及び介在ビア層)の完成後に実行されてよい。
さらに、いくつかの実施形態において、そのような(例えば、電気試験アルゴリズムの実行のために裏側構造を接触する)電気試験は、1又は複数の表側構造を同時に接触することを含んでよい。例えば、ダイの表側を通って露出させた表側構造は、電気テスタの別のプローバの複数のピンの導電ピンに位置合わせされてよい。例えば、電気試験アルゴリズムの実行中に、一方のプローバは、ダイの表側に電気的に結合されてよく、別のプローバは、ダイの裏側に電気的に結合されてよい。表側プローバの導電ピンは、次に、上記の裏側構造に裏側プローバの導電ピンを接触せることと同時に、表側構造に接触されてよい。表側構造は、次に、試験中のデバイスの第2の端子に電気的結合を提供してよく、電気試験アルゴリズムは、電気試験データを生成するために、表側及び裏側導電ピンを通して実行されてよい。
表側プローバピンにより接触された表側構造は、任意の適切な構造であってよく、試験中のデバイスの任意の適切な端子に電気的結合を提供してよい。実施形態において、試験中のデバイスは、トランジスタデバイスであり、裏側構造はソース端子に電気的結合を提供し、表側構造はゲート端子又はドレイン端子に電気的結合を提供する。実施形態において、試験中のデバイスはトランジスタデバイスであり、裏側構造はソース端子に電気的結合を提供し、表側構造は、(別の表側プローバピンに結合された)第2の表側構造がドレイン端子に電気的結合を提供するように、ゲート端子に電気的結合を提供する。実施形態において、試験中のデバイスはトランジスタデバイスであり、裏側構造はゲート端子に電気的結合を提供し、表側構造はソース端子又はドレイン端子に電気的結合を提供する。
試験されているダイの表側及び裏側は、任意の適切な技術又は複数の技術を用いる電気試験のために露出されてよい。実施形態において、電気試験は、ウェハレベルで(例えば、ダイシングの前に)実行されてよく、サポートの目的で、ウェハは、試験されているダイの表側の上方にホスト基板があるように、その表側の上方にホスト基板を有してよい。そのような実施形態において、導電ピンにより接触されている表側構造は、ホスト基板のメタライゼーション構造であってよく、これにより、メタライゼーション構造及び/又はメタライゼーション構造に電気的に結合されたトレース又は配線が電気試験中のデバイス端子に電気的結合を提供する。すなわち、ホスト基板は、ホスト基板が配置されるダイの電気試験のためのルーティングを含んでよい。そのようなホスト基板を用いる他の実施形態において、ホスト基板は、導電ピンを提供して、下層の表側構造、例えば、デバイス端子自体、又は、デバイス端子の上方に配置されるメタライゼーション層のメタライゼーション構造と接触する1又は複数の開口を含んでよい。他の実施形態において、ホスト基板は、電気試験中に提供されなくてよい。そのような実施形態において、ダイの表側(例えば、ウェハ)の上方に構築されるメタライゼーション層は、電気試験中に機械的支持を提供してよい。例えば、表側メタライゼーション層(例えば、8つのメタライゼーション層など、及び、対応するビア層及び絶縁材料)が構築されてよく、ダイの裏側が(表側サポート構造(例えば、ホスト基板)を用いるか用いないかのいずれか一方で)暴露されてよく、メタライゼーション層は、説明されるように、表側及び裏側を介して、電気試験中にサポートを提供するしてよい。
図70は、いくつかの実施形態に従う、裏側7051を介して、試験用のダイ7050を試験する電気試験装置7001の等角図である。示されるように、電気試験装置7001は、スペーストランスフォーマ7004を含むプローバ7011に電気的に結合される電気テスタ(E‐テスタ)7002を含む。いくつかの実施形態において、電気テスタ7002は、ICの機能、性能及び/又は応力試験のために構成される商業的に利用可能な自動試験設備(ATE)である。実施形態において、電気テスタ7002は、記憶装置(例えば、電気試験データを格納するためのコンピュータメモリ)、及び/又は、リモートデバイスに電気試験データを送信する通信デバイスを含む。示されるように、電気的結合7003は、電気テスタ7002とスペーストランスフォーマ7004との間に提供される。電気的結合7003は、任意の適切な構造及び技術を用いて、例えば、プローバ・インタフェース・試験アダプタ(ITA)などを用いて提供されてよい。示されるように、スペーストランスフォーマ7004は、電気的結合7003と試験用のダイ7050の裏側7051との間の電気的接続をさらに提供する。図示された実施形態において、スペーストランスフォーマ7004は基板7015を含っみ、電気的結合7003は、基板7015の第1面上に配置されるメタライゼーション7010に電気的接続を行う。スペーストランスフォーマ7004は、基板7015の第2面から延びるプローブピンのアレイ7025にメタライゼーション7010を電気的に結合する導電性トレースルーティング(図示されておらず)をさらに含む。基板7015は、電気テスタ7002と試験用のダイ7050との間で信号を適応する追加の回路をさらに含んでよい。いくつかの例示的な実施形態において、基板7015は有機ポリマーであり、プローブピンのアレイ7025の製造を容易にし得る点で有利である。
図70に示されるように、試験用のダイ7050の裏側7051及び試験されるウェハ7000の他のダイは、電気試験装置7001のプローブピンのアレイ7025に露出されてよい。例えば、試験用のダイ7050の裏側7051は、プローブピンのアレイ7025の導電ピンにより電気的に接触され得る裏側構造(図70には図示されておらず)を含んでよい。本明細書で説明される裏側暴露技術は、本明細書でさらに示され、かつ、説明されるように、裏側構造がプローブピンのアレイ7025により容易に接触され得るように、試験用のダイ7050の電気試験のために裏側構造の暴露を提供してよい点で有利である。例えば、プローブピンのアレイ7025の導電ピンは、裏側7051を介した暴露により、ローカル(例えば、単一のデバイスレベル)端子にアクセスしてよい。
電気的なダイ試験工程中に、試験用のダイ7050の裏側構造(例えば、試験ポイント)がプローブピンのアレイ7025と位置合わせされ、プローブピンのアレイ7025を電気的に接触させる。そのようなアラインメント及び電気的接触は、任意の適切な技術又は複数の技術、例えば、自動試験設備ハンドラ技術を用いて実行されてよい。いくつかの実施形態において、試験用のダイ7050の裏側7051により露出させた裏側構造がプローブピンのアレイ7025と接触させ、電気試験アルゴリズムは、電気試験データを生成するために、プローブピンのアレイ7025を通じて、試験用のダイ7050(例えば、試験用のダイ7050の試験デバイス)上で実行される。示されるように、いくつかの実施形態において、電気試験装置7001は、電気テスタ7002と試験用のダイ7050との間の接続を提供するスペーストランスフォーマ7004を含む。他の実施形態において、電気試験装置7001は、試験用のダイソケット、又は、電気テスタ7002と試験用のダイ7050との間の接続を提供する類似の構造を含む。
本明細書でさらに説明されるように、試験用のダイ7050の任意の適切な裏側基板は、プローブピンのアレイ7025の1又は複数のピンにより電気的に接触されてよい。いくつかの実施形態において、試験用のダイ7050のデバイスの試験は、試験用のダイ7050の裏側7051を介して全体的に実行されてよい。他の実施形態にでは、試験用のダイ7050の裏側及び表側構造が、電気試験アルゴリズムが試験用のダイ7050上で実行される間に同時に接触されてよい。
図71は、いくつかの実施形態に従う、裏側7051及び表側7151を介して、試験用のダイ7050を同時に試験する電気試験装置7101の等角図である。示されるように、電気試験装置7101は、スペーストランスフォーマ7004を含むプローバ7011に電気的に結合される電気テスタ7002と、スペーストランスフォーマ7104を含むプローバ7111を含む。電気試験装置7101は、同時表側及び裏側試験を用いるICの機能、性能及び/又は応力試験のために構成される自動試験設備であってよい。先に論じられたように、電気テスタ7002は、電気試験データを記憶及び/又は送信する記憶装置及び/又は通信デバイスを含んでよい。示されるように、電気的結合7003は、電気テスタ7002とスペーストランスフォーマ7004との間に提供され、電気的結合7103は、電気テスタ7002とスペーストランスフォーマ7104との間に提供される。電気的結合7003、7103は、任意の適切な構造及び技術を用いて、例えば、プローバ・インタフェース・試験アダプタなどを用いて提供されてよい。スペーストランスフォーマ7004は、本明細書で説明されるように、任意の接続を提供してよく、任意の特性を有してよい。また、示されるように、スペーストランスフォーマ7104は、電気的結合7103と試験用のダイ7050の表側7151との間の電気的接続を提供する。図示された実施形態において、スペーストランスフォーマ7004は、基板7115を含み、電気的結合7103は、基板7115の第1面上に配置されたメタライゼーション(図示されておらず)に電気的接続を行う。スペーストランスフォーマ7104は、基板7115の第2面から延びるプローブピンのアレイ7125にメタライゼーションを電気的に結合する導電性トレースルーティング7120(又はメタライゼーション)をさらに含む。基板7015と同様に、基板7115は、電気テスタ7002と試験用のダイ7050との間で信号を適応する追加の回路をさらに含んでよく、基板7115は、プローブピンのアレイ7025の製造を容易にする有機ポリマーであってよい。しかしながら、基板7015、7115は、任意の適切な材料又は複数の材料(互いに対して同一又は異なっていてよい)を含んでよい。
図71に示されるように、試験用のダイ7050の裏側7051及び試験されるウェハ7000の他のダイは、試験用のダイ7050の表側7151と、プローブピンのアレイ7125に露出される試験されるウェハ7000の他のダイとを同時にプローブピンのアレイ7025に露出されてよい。例えば、試験用のダイ7050の裏側7051は、プローブピンのアレイ7025の導電ピンにより電気的に接触し得る裏側構造(図71には図示されておらず)を含んでよく、試験用のダイ7050の表側7151は、プローブピンのアレイ7125の導電ピンにより電気的に接触され得る表側構造(これも図71には図示されておらず)を含んでよい。
電気的なダイ試験工程中、試験用のダイ7050の裏側及び表側構造(例えば、試験ポイント)は、それぞれ、位置合わせされて、プローブピンのアレイ7025とプローブピンのアレイ7125とを電気的に接触させる。そのようなアラインメント及び電気的接触は、任意の適切な技術又は複数の技術、例えば、自動試験設備ハンドラ技術などを用いて実行されてよい。例えば、試験用のダイ7050の裏側7051により露出させた裏側構造、及び、試験用のダイ7050の表側7151により露出させた表側構造は、プローブピンのアレイ7025及びプローブピンのアレイ7125をそれぞれ同時に接触させ、電気試験アルゴリズムは、電気テスタ7002のストレージに保存されてよい、及び/又は、リモートデバイス(図示せず)に転送されてよい電気試験データを生成するために、導電プローブピンのアレイを通じて試験用のダイ7050上で実行される。図示された実施形態において、電気試験装置7101は、電気テスタ7002と試験用のダイ7050との間の接続を提供するために、スペーストランスフォーマ7004及びスペーストランスフォーマ7104を含む。他の実施形態において、スペーストランスフォーマ7004及びスペーストランスフォーマ7104のいずれか一方又は両方に代わって、試験用のダイソケット又は類似の構造が、電気テスタ7002と試験用のダイ7050との間の接続を提供するために提供されてよい。
図72は、いくつかの実施形態に従う、電気試験処理方法7201を示すフロー図である。方法7201は、ウェハレベルで実施されてよい。いくつかの例示的な実施形態において、より大きい基板(例えば、直径300又は450mm)のウェハは、方法7201を通じて処理されてよい。例えば、試験用のダイ7050及び試験される予定の他のダイを含むウェハ7000は、方法7201を通じて処理されてよい。本明細書で用いられるように、試験用のダイという用語は、試験される予定の又は試験中のダイを表すために用いられる。試験される予定の試験用のダイのデバイス又は構造は、ダイに統合される、又は、試験用のダイのフィールド部分又はスクリーブ部分のいずれ一方に別個に提供されるなどしてよい。さらに、試験される予定の試験用のダイのデバイス又は構造は、任意の適切なデバイス又は構造、例えば、トランジスタデバイス、電気デバイス、試験デバイス、試験用のパッチ、試験材料などであってよい。デバイス又は構造の電気試験は、デバイス又は構造の1又は複数の端子に電気テスタを電気的に結合することにより実行される。そのような電気的結合は、試験される予定の試験用のダイの裏側構造及び/又は表側構造を介して提供される。
方法7201は、ダイの露出させた裏側構造を含むウェハを用いて工程7205で開始する。例えば、ウェハは、試験するためにデバイス(例えば、トランジスタデバイス)をダイが含むように、電気試験用のダイを含んでよい。ダイの裏側を通って露出される裏側構造は、試験するためのデバイスの端子(例えば、トランジスタデバイスのトランジスタ端子)に対する電気的結合である又は電気的結合を提供する。いくつかの実施形態において、露出させた裏側構造は、試験される予定のデバイス又は構造の端子である。例えば、試験される予定のデバイスがトランジスタデバイス(例えば、プレーナ型又は非プレーナ型デバイス)である場合、露出させた裏側構造は、ソース端子、ドレイン端子、ゲート端子、ソースメタライゼーション、ドレインメタライゼーション、ゲートメタライゼーション又はこれらの任意の組み合わせであってよい。試験される予定のデバイスが、試験デバイス(例えば、電気的に結合されたフィンのチェーン又は試験用の材料サンプルなど)である場合、露出させた裏側構造は、これらの端子であってよい。
一例として、試験用のダイのトランジスタデバイス(例えば、FETトランジスタ)において、露出させた裏側構造は、FETのソースメタライゼーションである。実施形態において、露出させた裏側構造は、端子に隣接するメタライゼーション構造である。他の実施形態において、露出させた裏側構造は、メタライゼーション層が、メタライゼーション構造から端子への選択的なルーティングを提供するように、トランジスタデバイス又は試験デバイスの上方に配置されるメタライゼーション層から成るメタライゼーション構造である。例えば、メタライゼーション構造及び端子は、それらが、互いに電気的に接触している、又は、任意の数の中間導電性材料と電気的に接触しているのいずれかの状態で隣接してよい。例えば、メタライゼーション構造は、金属1層などの構造であってよく、端子への電気的接触又は結合は、金属1のメタライゼーション構造、接触、端子のメタライゼーション、端子の順序で行われてよい。別の例において、メタライゼーション構造は、最後の金属層(例えば、金属8など)の構造であり、端子への電気的接触又は結合は、金属8のメタライゼーション構造、より低いレベルの金属及びコンタクト層を通じたルーティング、端子のメタライゼーション、端子の順序で行われてよい。メタライゼーション構造と端子との間の任意の適切な電気的結合が提供されてよい。
方法7201は、工程7210に進み、プローバの導電ピンが工程7205で受容又は生成されたダイの対応する露出させた裏側構造と位置合わせされる。プローバの導電ピンは、任意の適切な技術又は複数の技術、例えば、レジストレーション技術などを用いいて、対応する露出させた裏側構造に位置合わせされてよい。例えば、ダイの裏側を通って露出させた裏側構造は、電気試験装置のプローバの複数の導電ピンの導電ピンに位置合わせされる。示されるように、任意選択で、第2のプローバの導電ピンは、工程7205で受容され又は生成されたダイの対応する露出した表側構造と位置合わせされてよい。例えば、ダイの表側を通って露出させた表側構造は、電気テスタの第2のプローバの複数の導電ピンのうちの第2の導電ピンに位置合わせされてよい。例えば、方法7201は、裏側のみの電気試験又は同時に行われる裏側及び表側の電気試験のいずれか一方を提供してよい。
同時に行われる裏側及び表側の電気試験が実行される例では、露出させた表側構造は、任意の適切な構造、例えば、デバイス端子自体又は端子に電気的結合を提供するメタライゼーション構造などであってよい。いくつかの実施形態において、工程7205で受容されたウェハの構造支持体は、ホスト基板又はメタライゼーション層の積層体などを用いて、表側により実質的に提供される。ホスト基板が用いられる例において、ホスト基板内の開口のいずれかが、電気試験接触のために表側構造を露出するために提供されてよい、又は、ホスト基板は、端子への電気的なルーティングに沿う表側構造の電気試験接触を提供又は含んでよい。そのような電気的なルーティングは、本明細書で説明されるように、導電性材料の隣接する構造を用いて提供されてよい。
そのような同時に行われる裏側及び表側の電気試験は、試験される予定のデバイスの端子又は構造にアクセスするために、様々な組み合わせを提供する。例えば、プレーナ型又は非プレーナ型トランジスタを試験するコンテキストにおいて、端子(例えば、ソース、ドレイン、ゲート)のいずれかは、トランジスタの構成に依存して、表側又は裏側から接触されてよい。実施形態において、ソース端子は裏側から接触され、ドレイン及びゲート端子は表側から接触される。実施形態において、ゲート端子は裏側から接触され、ソース、ドレイン及びゲート端子は表側から接触される。しかしながら、端子接触の任意の組み合わせは、本明細書で説明される技術を用いて実施されてよい。さらに、試験構造(例えば、電気的に結合されたフィンのチェーン又は試験用の材料サンプルなど)に関して、試験構造の第1の端子は裏側から接触されてよく、試験構造の第2の端子は表側から接触されてよい。そのような接触は、本明細書で説明されるように、露出された構造が、関連のある端子にさらに電気的に結合され又は電気的結合を提供するように、露出させた表側又は裏側構造にプローバの導電ピンを接触させることにより行われてよい。
方法7201は工程7215に進み、工程7210で位置合わせされた導電ピン(例えば、裏側プローバピンのみ又は裏側及び表側プローバピンの両方のいずれか一方)は、電気テスタに電気的結合を提供するために、各構造と接触される。例えば、導電ピンは、裏側構造がトランジスタデバイスのトランジスタ端子に電気的結合を提供するように、裏側構造に接触され、及び/又は、第2の導電ピンは、表側構造が、トランジスタデバイスの第2のトランジスタ端子に電気的結合を提供するように、表側構造に同時に接触される。導電ピンは、任意の適切な技術又は複数の技術、例えば、自動試験設備ハンドラ技術などを用いて、対応する露出させた裏側構造及び/又は表側構造に接触されてよい。工程7210で接触された導電ピンは、工程7205で受容されたウェハに対して、任意の空間レベル及び/又はデバイス統合レベルで、電気試験を提供してよい。
例えば、空間的に、電気試験は、単一のダイ、2又はそれより多いダイを同時に、又は、ウェハ幅試験などの試験を提供してよい。そのような電気試験は、単一の裏側プローバ、複数の裏側プローバ、単一の裏側プローバ及び単一の表側プローバ、又は、表側又は表側プローバのいずれ一方の複数などにより提供されてよい。実施形態において、第2のダイの裏側を通って露出された第2の裏側構造は、電気テスタの第2のプローバの複数の導電ピンのうちの第2の導電ピンに位置合わせされ、裏側構造に導電ピンを接触させるのと同時に、第2の導電ピンは、第2の裏側構造が、第2のダイの第2のトランジスタデバイスの第2のトランジスタ端子に電気的結合を提供するように、第2の裏側構造に接触され、電気試験アルゴリズムを実行するのと同時に、第2の電気試験アルゴリズムは、第2のダイに対応する第2の電気試験データを生成するために、少なくとも第2の導電ピンを通じて第2のダイで実行される。例えば、端子はソース端子であり、第2の端子はゲート端子である。しかしながら、端子の任意の組み合わせが、そのような技術を用いて結合されてよい。
さらに、試験されるデバイス及び/又は構造は、部分的に形成されたデバイス、十分に形成されたデバイス、1又は複数のメタライゼーション層により統合された十分に形成されたデバイスなどであってよい。また、試験されるデバイス及び/又は構造は、試験の目的で形成されたデバイスであってよい。実施形態において、第2の裏側構造は、プローバの1又は複数の導電ピンのうちの第2の導電ピンに位置合わせされ、導電ピンを裏側構造に同時に接触させ、第2の導電ピンは、第2の裏側構造がダイの試験デバイスに電気的結合を提供するように、第2の裏側構造に接触される。例えば、試験デバイスは、半導体フィンに電気的に結合されるチェーン、試験用のパッチ又は試験材料などであってよい。方法7201は工程7220に進み、電気試験データを生成するために、工程7215で接触された導電ピンを介して電気試験アルゴリズムが実行される。例えば、導電ピンの裏側構造への接触中に、電気試験アルゴリズムは、ダイに対応する電気試験データを生成するために、少なくとも第1の導電ピンを通してダイ上で実行されてよい。さらに、電気試験アルゴリズムは、ダイの裏側及び/又は表側構造に接触された導電ピンのいずれか又はすべてを通してダイ上で実行されてよい。工程7220で実行される電気試験アルゴリズムは、任意の適切な電気的プロービング、機能欠陥試験又は電気試験パターニングなどを含んでよい。
方法7201は、電気試験データの出力及び/又はそのような電気試験データの記憶装置(例えば、コンピュータメモリ)への記憶をもって完了する。電気試験データは、電気テスタ及び/又はリモートデバイス又は複数のリモートデバイスで局所的に格納されてよい。例えば、電気試験データは、電気テスタの通信機能を用いて、電気テスタからリモートデバイス又は複数のリモートデバイスに転送されてよい。
先に論じられたように、同時に行われる裏側及び表側の電気試験は、試験される予定のデバイス又は構造の端子にアクセスするために様々な組み合わせを提供する。図73~図80Cは、いくつかの実施形態に係る例示的な同時に行われる裏側及び表側の電気試験構成を提供する。しかしながら、本明細書で説明される電気試験技術は、裏側アクセスのみにより、及び/又は、裏側及び/又は表側によりアクセスされる端子の任意の構成により実施されてよい。
図73は、いくつかの実施形態に従う、同時に行われる裏側及び表側の接触を用いた電気試験中にある非プレーナ型トランジスタ構造1304の平面図である。非プレーナ型トランジスタ構造1304に関して示される太い一点鎖線は、断面図に沿う図74A~図74C、図75A~図75C及び図76A~図76Cとしてさらに提供される面を示す。本明細書で説明され、方法7201に関して示される技術を用いて、裏側電気試験処理は、これらの露出させた裏側を介して非プレーナ型トランジスタ構造1304を提供し得る。
図73に示されるように、いくつかの実施形態において、非プレーナ型トランジスタは、導電ピン7301、7302及び7303を用いて電気的に試験されてよい。例えば、非プレーナ型トランジスタ構造1304は、電気試験中のトランジスタデバイスであってよい。追加のデバイスセル772は、例えば、メモリセル、パワートランジスタ構造、RFトランジスタ構造又は光デバイスセルなどのいずれかであってよく、それらは、非プレーナ型トランジスタ構造1304の前後に非プレーナ型トランジスタ構造1304と並行して電気的に試験されてよい、又は、何も行われなくてもよい。例示において、ICダイ771は、電気試験のための試験用のダイ(例えば、試験用のダイ7050)として提供されてよく、ICダイ771の1又は複数のトランジスタは、導電ピン7301、7302及び7303による接触を介して試験されてよい。示されるように、非プレーナ型トランジスタ構造1304は、ソース/ドレイン半導体640、ソース/ドレインメタライゼーション650、ソース/ドレインメタライゼーション650からゲート電極673を分離するスペーサ誘電体671及び/又はソース/ドレイン半導体640、及び、裏側基板775の上方のフィールド分離誘電体680を含む。
例示において、導電ピン7301、7302は、ICダイ771の表側7151を介して提供され、導電ピン7303は、(斜線を有する導電ピン7303により示されるように)ICダイ771の裏側を介して提供される。図73のダイは、提示を明瞭にする目的で、図70及び図71のダイに対して上下が逆さまであることに留意する。さらに、導電ピン7303と接触するソース/ドレインメタライゼーション1650は、本明細書でさらに示されるように、ICダイ771の裏側上にある。図73及び後続の断面は、ゲート電極673及びソース/ドレインメタライゼーション650、及び、表側7151から接触されるソース/ドレイン半導体640(例えば、ソース又はドレインのいずれか一方)、及び、裏側から接触されるソース/ドレインメタライゼーション1650及びソース/ドレイン半導体(例えば、ソース又はドレインのいずれか一方)を用いた例を示すが、非プレーナ型トランジスタ構造1304の表側及び裏側端子コンタクトの任意の組み合わせが、本明細書で説明される電気試験技術を用いて構成されてよい。
例えば、試験中のデバイスの構成に依存して、表側及び裏側の接触が適切に行われてよい。実施形態において、トランジスタデバイスのゲート、ソース及びドレイン端子のすべては裏側から接触さえる。実施形態において、トランジスタデバイスのゲート端子は裏側から接触され、ソース端子及びドレイン端子の両方は表側から接触される。実施形態において、トランジスタデバイスのソース端子及びドレイン端子は表側から接触され、ゲート端子はその裏側から接触される。さらに、非プレーナ型トランジスタ構造1304に関して説明されるが、そのような接触は、プレーナ型トランジスタ、試験構造、別のデバイスタイプ(例えば、メモリデバイス、パワートランジスタデバイス、RFトランジスタデバイス又は光デバイスなど)を用いて行われてよい。導電ピン7301、7302及び7303が、対応する端子の直接上方又は下方に示されているが、いくつかの実施形態において、対応する端子の直接上方にはない介在構造が、導電ピン7301、7302及び7303の接触に関して提供され得る。例えば、導電ピン7301、7302及び7303の必要な密度は、対応する端子にルーティングを提供することにより緩和され得る。
図74A、図75A及び図76Aは、裏側及び表側の電気試験接触の様々な実施形態に関する、図73に示されるA‐A'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。図74B、図75B及び図76Bは、裏側及び表側の電気試験接触の様々な実施形態に関する、図73に示されるB‐B'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。図74C、図75C及び図76Cは、裏側及び表側の電気試験接触の様々な実施形態に関する、図73に示されるC‐C'面に沿う非プレーナ型トランジスタ構造1304の断面図を示す。
図74A、図74B、図74Cは、いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造1304の断面図を示す。例えば、図74A、図74B、図74Cは、非プレーナ型トランジスタ構造1304が、表側積層体690と、電気試験用のピンを接触させるための表側構造をホスト基板202が含む、表側の上方に配置されるホスト基板202とを含む実施形態に関する電気試験構造を提供する。図74A、図74B、図74Cに示される構造的なフィーチャは、同様の参照番号に関して本明細書で説明される特性のいずれかを有してよい。示されるように、非プレーナ型トランジスタ構造1304は、半導体本体780、ゲート誘電体845の上方に配置されるゲート電極673、ソース/ドレイン半導体640に結合されるソース/ドレインメタライゼーション650、ソース/ドレイン半導体1640に結合されるソース/ドレインメタライゼーション1650、介在層210及びエッチングマスク1410を含む。例えば、ソース/ドレインメタライゼーション650及びソース/ドレイン半導体640は、ソース又はドレインのいずれか一方であってよく、一方で、ソース/ドレインメタライゼーション1650及びソース/ドレイン半導体1640は、その結果、その反対(例えば、ドレイン又はソース)となる。本明細書で用いられるように、ゲート電極673、ソース/ドレイン半導体640及びソース/ドレイン半導体1640のいずれかは、トランジスタ端子であってよい。電気試験のコンテキストにおいて、そのような端末への電気的結合が実現されてよく、非プレーナ型トランジスタ構造1304が試験されてよい。
また、図74A及び74Bに示されるように、導電ピン7301、7302は、ホスト基板202と物理的に接触している。さらに、導電ピン7302は、ホスト基板202を通じてゲート電極673及び表側積層体690に電気的に結合され、導電ピン7301は、ホスト基板202を通じてソース/ドレイン半導体640、表側積層体690及びソース/ドレインメタライゼーション650に電気的に結合される。図74A、図74B、図74Cの実施形態において、ホスト基板202は、導電ピン7301、7302が位置合わせされ、ひいては、電気試験のために表側構造7411、7412に接触され得るような表側構造7411、7412を含む。例えば、導電ピン7301、7302は、プローバの複数のピンの個々の導電ピンであってよい。本明細書で説明されるように、導電ピン7301、7302は、ホスト基板202の表側構造7411、7412にそれぞれ位置合わせされ、表側構造7411、7412と接触される。(以下で説明されるように)そのような表側の接触及び裏側の接触後に、電気試験が実行される。
ホスト基板202の表側構造7411、7412は、ソース/ドレインメタライゼーション650及びゲート電極673に導電ピン7301、7302をそれぞれ電気的に結合するための任意の適切な構造及び材料を含んでよい。例えば、表側構造7411、7412は、導電パッド又はトレースなどであってよい。例えば、表側構造7411、7412は、例えば、銅などの金属であってよい、又は、銅などの金属を含んでよい。さらに、ホスト基板202及び表側積層体690は、電気試験のために、表側構造7411、7412から対応する端子(及び、ソース/ドレイン端子の場合、任意のメタライゼーション)までの電気配線、ルーティング又はコンタクトなどを提供する。そのような電気的結合が、導電ピン7301、7302をソース/ドレイン半導体640及びゲート電極673にそれぞれ接続する斜線により示される。理解されるように、表側構造7411、7412が、これらの対応するフィーチャ及び/又は端子の直接上方にある必要はない(そのような配向が、提示を明瞭にする目的で示される)。さらに、表側構造から、対応するフィーチャ及び/又は端子へのルーティングは、ホスト基板202及び表側積層体690を通じて任意の適切なルートを取ってよい。
また、図74B及び74Cに示されるように、導電ピン7303は、ソース/ドレインメタライゼーション1650と物理的に接触しており、ソース/ドレイン半導体1640に電気的結合を提供する。電気試験のコンテキストにおいて、ソース/ドレインメタライゼーション1650は、非プレーナ型トランジスタ構造1304の裏側を通って露出させた裏側構造を提供する。導電ピン7301、7302に関して説明されたように、導電ピン7303は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7303は、ソース/ドレインメタライゼーション1650に位置合わせされて接触される。接触後に、ソース/ドレイン半導体640及びゲート電極673に導電ピン7301、7302を結合している間、電気試験データを生成するために電気試験が実行される。いくつかの実施形態において、図76A、図76B、図76Cに関してさらに説明されるように、導電ピン7303は、裏側メタライゼーション積層体及び/又はホスト基板の裏側構造と接触してよく、電気的なルーティングがゲート電極673に提供されてよい。
本明細書で説明される導電ピン7301、7302、7303及び(例えば、導電性プローブピンのアレイの)任意の他の導電ピンは、電気的にかつ物理的にこれらの電気試験構造とそれぞれ接触するための任意の適切なサイズ、形状及び材料を有してよい。例えば、導電ピンは、金属又は他の導体、例えば、銅などを含んでよく、円筒状の形状及び/又はテーパ上の先端などを有してよい。さらに、単一のトランジスタ又はトランジスタ構造の特定の端子に結合されることが示されているが、本明細書で説明されるように、そのような導電ピンは、任意のデバイス端子に結合されてもよい。また、そのような導電ピンは、任意のそのようなデバイスの任意の数の端子に結合されてもよい。例えば、導電ピン7303は、トランジスタ構造1304のみの端子と接触してよく、一方で、導電ピン7301、7303は、(例えば、ホスト基板202及び/又は表側積層体690内のルーティングにより)任意の数のゲート及び任意の数のトランジスタのドレイン端子に電気的に結合される。例えば、本明細書で説明される任意の導電ピンは、複数トランジスタデバイスの複数の端子、トランジスタ構造、試験デバイス、電力レール又はグランド構造などに結合され得る。
図75A、図75B、図75Cは、いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造1304の断面図を示す。例えば、図75A、図75B、図75Cは、非プレーナ型トランジスタ構造1304が、表側積層体690と、ホスト基板202が、表側積層体690の表側構造を通じて電気試験用のピンを通過させる開口を含むように、その表側の上方に配置されるホスト基板202とを含む実施形態に関する電気試験構造が提供される。図75A、図75B、図75Cに示される構造的なフィーチャは、同様の参照番号ついて本明細書で説明された特性のいずれかを有してよい。本明細書で説明されるように、非プレーナ型トランジスタ構造1304は、ゲート誘電体845の上方に配置されるゲート電極673と、ソース/ドレイン半導体640に結合されるソース/ドレインメタライゼーション650と、ソース/ドレイン半導体1640に結合されるソース/ドレインメタライゼーション1650とを含み、ゲート電極673、ソース/ドレイン半導体640及びソース/ドレイン半導体1640のいずれかがトランジスタ端子であってよい。電気試験のコンテキストにおいて、そのような端末へお電気的結合が実現されてよく、非プレーナ型トランジスタ構造1304が試験されてよい。
また、図75A及び図75Bに示されるように、1つの開口又は複数の開口7501は、電気試験のために、導電ピン7301、7302がホスト基板202を通過して表側積層体690の表側構造7511、7512と物理的に接触するように、ホスト基板202に提供されてよい。さらに、導電ピン7302は、ゲート電極673に電気的に結合され、導電ピン7301は、表側積層体690を通じてソース/ドレイン半導体640に電気的に結合される。図75A、図75B、図75Cの実施形態において、表側積層体690は、本明細書で説明されるように、電気試験のために、導電ピン7301、7302が位置合わせされ、ひいては、表側構造7511、7512に接触され得るような表側構造7511、7512を含む。例えば、導電ピン7301、7302は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7301、7302は、表側積層体690の表側構造7511、7512にそれぞれ位置合わせされ、表側構造と接触される。(以下で説明されるように)そのような表側の接触及び裏側の接触後に、電気試験が実行される。
表側積層体690の表側構造7511、7512は、ソース/ドレインメタライゼーション650及びゲート電極673に導電ピン7301、7302をそれぞれ電気的に結合するための任意の適切な構造及び材料を含んでよい。例えば、表側構造7511、7512は、導電パッド又はトレースなどであってよい。例えば、表側構造7511、7512は、例えば、銅などの金属であってよい、又は、銅などの金属を含んでよい。さらに、表側積層体690は、電気試験のために、表側構造7511、7512から対応する端子までの電気配線、ルーティング又はコンタクトなどを提供する。そのような電気的結合が、導電ピン7301、7302をソース/ドレイン半導体640及びゲート電極673にそれぞれ接続する斜線により示される。理解されるように、表側構造7511、7512が、これらの対応するフィーチャ及び/又は端子の直接上方にある必要はない(そのような配向が、提示を明瞭にする目的で示される)。さらに、表側構造7511、7512から、対応するフィーチャ及び/又は端子へのルーティングは、表側積層体690を通じて任意の適切なルートを取ってよい。
また、図75B及び75Cに示されるように、導電ピン7303は、ソース/ドレインメタライゼーション1650と物理的に接触しており、電気試験のコンテキストにおいて、ソース/ドレインメタライゼーション1650が非プレーナ型トランジスタ構造1304の裏側を通って露出させた裏側構造を提供するように、ソース/ドレイン半導体1640に電気的結合を提供する。本明細書で説明されたように、導電ピン7303は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7303は、ソース/ドレインメタライゼーション1650に位置合わせされて接触される。接触後に、ソース/ドレイン半導体640及びゲート電極673に導電ピン7301、7302を結合している間、電気試験データを生成するために電気試験が実行される。いくつかの実施形態において、図76A、図76B、図76Cに関してさらに説明されるように、導電ピン7303は、裏側メタライゼーション積層体及び/又はホスト基板の裏側構造と接触してよく、電気的なルーティングがゲート電極673に提供されてよい。
図76A、図76B、図76Cは、いくつかの実施形態に従う、電気試験用の導電ピンにより接触される非プレーナ型トランジスタ構造1304の断面図を示す。例えば、図76A、図76B、図76Cは、非プレーナ型トランジスタ構造1304が、その表側の上方に配置される表側積層体690であって、電気試験用のピンと接触するための表側構造を含む、表側積層体690と、その裏側の上方に配置される裏側積層体1690であって、電気試験のピンと接触するための裏側構造を含む、裏側積層体1690とを含む実施形態に関する電気試験構造を提供する。図76A、図76B、図76Cに示される構造的なフィーチャは、同様の参照番号に関して本明細書で説明される特性のいずれかを有してよい。さらに、裏側積層体1690は、本明細書で説明された例、例えば、図74A、図74B、図74C及び図75A、図75B、図75Cのこれらのいずれかに任意選択で含まれてよい。本明細書で説明されるように、非プレーナ型トランジスタ構造1304は、ゲート誘電体845の上方に配置されるゲート電極673と、ソース/ドレイン半導体640に結合されるソース/ドレインメタライゼーション650と、ソース/ドレイン半導体1640に結合されるソース/ドレインメタライゼーション1650とを含み、ゲート電極673、ソース/ドレイン半導体640及びソース/ドレイン半導体1640のいずれかがトランジスタ端子であってよい。電気試験のコンテキストにおいて、そのような端末への電気的結合が実現されてよく、非プレーナ型トランジスタ構造1304が試験されてよい。
また、図76A及び76Bに示されるように、導電ピン7301、7302は、表側積層体690と物理的に接触している。さらに、導電ピン7302は、ゲート電極673に電気的に結合され、導電ピン7301は、表側積層体690を通じてソース/ドレイン半導体640に電気的に結合される。図76A、図76B、図76Cの実施形態において、表側積層体690は、導電ピン7301、7302が位置合わせされ、ひいては、電気試験のために表側構造7781、7782に接触され得るような表側構造7781、7782を含む。例えば、導電ピン7301、7302は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7301、7302は、表側積層体690の表側構造7781、7782に位置合わせされ、表側構造と接触される。(以下で説明されるように)そのような表側の接触及び裏側の接触後に、電気試験が実行される。
表側積層体690の表側構造7781、7782は、ソース/ドレインメタライゼーション650及びゲート電極673に導電ピン7301、7302をそれぞれ電気的に結合するための任意の適切な構造及び材料を含んでよい。例えば、表側構造7781、7782は、導電パッド又はトレースなどであってよい。例えば、表側構造7781、7782は、例えば、銅などの金属であってよい。実施形態において、表側構造7781、7782は、複数の表側メタライゼーション層のうちの最後の表側メタライゼーション層(例えば、金属8)から成るメタライゼーション構造である。さらに、表側積層体690は、電気試験のために、表側構造7781、7782から対応する端子までの電気配線、ルーティング又はコンタクトなどを提供する。そのような電気的結合が、導電ピン7301、7302をソース/ドレイン半導体640及びゲート電極673にそれぞれ接続する斜線により示される。理解されるように、これらの対応するフィーチャ及び/又は端子の直接上方に表側構造がある必要はない。さらに、表側構造から、対応するフィーチャ及び/又は端子へのルーティングは、表側積層体690を通じて任意の適切なルートを取ってよい。
図76Cにも示されるように、導電ピン7303は、裏側積層体1690と物理的に接触している。裏側積層体1690は、制限なく変化し得、例えば、任意の数のバックエンドインターコネクトメタライゼーションレベルを含み得るトランジスタ構造の階層の一部として破線で示される。そのようなレベルは、1又は複数の中間誘電体(ILD)層により、互いから分離されてよい。示されるように、導電ピン7303は、裏側積層体1690を通じてソース/ドレイン半導体1640に電気的に結合される。図76A、図76B、図76Cの実施形態において、裏側積層体1690は、電気試験のために、導電ピン7303が位置合わせされ、ひいては、接触され得るような裏側構造7783を含む。例えば、導電ピン7303は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7303が裏側積層体1690の裏側構造7783に位置合わせされて接触される。接触後に、ソース/ドレイン半導体640及びゲート電極673に導電ピン7301、7302を結合している間、電気試験データを生成するために電気試験が実行される。
裏側積層体1690の裏側構造7783は、ソース/ドレインメタライゼーション1650に導電ピン7303を電気的に結合するための任意の適切な構造及び材料を含んでよい。例えば、裏側構造7783は、導電パッド又はトレースなどであってよい。例えば、裏側構造7783は、例えば銅などの金属であってよい。実施形態において、裏側構造7783は、複数の裏側メタライゼーション層のうちの最後の裏側メタライゼーション層(例えば、金属8)から成るメタライゼーション構造である。さらに、裏側積層体1690は、電気試験のために、裏側構造から対応する端子までの電気配線、ルーティング又はコンタクトなどを提供する。そのような電気的結合は、ソース/ドレインメタライゼーション1650に導電ピン7303を接続する斜線により示されている。理解されるように、裏側構造は、これらの対応するフィーチャ及び/又は端子と直接一列にはる必要はなく、提示を明瞭にする目的で示される。さらに、裏側構造から、対応するフィーチャ及び/又は端子へのルーティングは、裏側積層体1690を通じて任意の適切なルートを取ってよい。
図77は、いくつかの実施形態に従う、同時に行われる裏側及び表側の接触を用いた電気試験中にある論理トランジスタ構造774の平面図である。論理トランジスタ構造774に関して示される太い一点鎖線は、断面図に沿う図78A~図78C、図79A~図79C及び図80A~図80Cとしてさらに提供される面を示す。本明細書で説明され、方法7201に関して示される技術を用いて、裏側電気試験処理は、これらの露出させた裏側を介して論理トランジスタ構造774に提供されてよい。
図77に示されるように、いくつかの実施形態において、トランジスタは、導電ピン7701、7702及び7703を用いて電気的に試験されてよい。例えば、論理トランジスタ構造774は、電気試験中のトランジスタデバイスであってよい。追加のデバイスセル772は、例えば、メモリセル、パワートランジスタ構造、RFトランジスタ構造又は光デバイスセルなどのいずれかであってよく、それらは、論理トランジスタ構造774の前後に論理トランジスタ構造774と並行して電気的に試験されてよい、又は、何も行われなくてもよい。例示において、ICダイ771は、電気試験のための試験用のダイ(例えば、試験用のダイ7050)として提供されてよく、ICダイ771の1又は複数のトランジスタは、導電ピン7701、7702及び7703による接触を介して試験されてよい。示されるように、論理トランジスタ構造774は、ソース/ドレイン半導体640、ソース/ドレインメタライゼーション650、ソース/ドレインメタライゼーション650から裏側ゲート電極2873を分離するスペーサ誘電体671及び/又はソース/ドレイン半導体640、及び裏側基板775の上方のフィールド分離誘電体680を含む。
例示において、導電ピン7701、7703は、ICダイ771の表側7151を介して提供され、導電ピン7702は、(斜線を有する導電ピン7702により示されるように)ICダイ771の裏側を介して提供される。図77のダイは、提示を明瞭にする目的で、図70及び図71のダイに対して上下が逆さまであることに留意する。さらに、導電ピン7303と接触する裏側ゲート電極2873は、本明細書でさらに示されるように、ICダイ771の裏側に延びる(例えば、周囲を包む)。図77及び後続の断面は、ソース/ドレインメタライゼーション650及び表側7151から接触されえるソース/ドレイン半導体640(例えば、ソース及びドレイン)及び裏側から接触されるゲート電極2873を用いた例を示すが、論理トランジスタ構造774の表側及び裏側端子コンタクトの任意の組み合わせが、本明細書で説明される電気試験技術を用いて構成されてよい。導電ピン7701、7702及び7703が、対応する端子の直接上方又は下方に示されているが、いくつかの実施形態において、対応する端子の直接上方にはない介在構造が、導電7701、7702及び7703の接触に関して提供され得る。例えば、導電ピン7701、7702及び7703の必要な密度は、対応する端子にルーティングを提供することにより緩和され得る。
図78A、79A及び80Aは、裏側及び表側の電気試験接触の様々な実施形態に関する、図77に示されるA‐A'面に沿う論理トランジスタ構造774の断面図を示す。図78B、79B及び80Bは、裏側及び表側の電気試験接触の様々な実施形態に関する、図77に示されるB‐B'面に沿う論理トランジスタ構造774の断面図を示す。図78C、79C及び80Cは、裏側及び表側の電気試験接触の様々な実施形態に関する、図77に示されるC‐C'面に沿う論理トランジスタ構造774の断面図を示す。
図78A、図78B、図78Cは、いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造774の断面図を示す。例えば、図78A、図78B、図78Cは、論理トランジスタ構造774が、表側積層体690と、電気試験用のピンを接触させるための表側構造をホスト基板202が含む、表側の上方に配置されたホスト基板202とを含む実施形態に関する電気試験構造を提供する。図78A、図78B、図78Cに示される構造的なフィーチャは、同様の参照番号に関して本明細書で説明される特性のいずれかを有してよい。示されるように、論理トランジスタ構造774は、デバイス層215、裏側ゲート誘電体2845上又はその上方に配置されるゲート電極2873、ソース/ドレイン半導体640に結合されるソース/ドレインメタライゼーション650を含む。本明細書で用いられるように、ゲート電極2873及び/又はソース/ドレイン半導体640のいずれかがトランジスタ端子であってよい。電気試験のコンテキストにおいて、そのような端末への電気的結合が実現されてよく、論理トランジスタ構造774が試験されてよい。
また、図78B及び図78Cに示されるように、導電ピン7701、7703は、ホスト基板202と物理的に接触している。さらに、導電ピン7701、7703は、ホスト基板202を通じてソース/ドレイン半導体640、表側積層体690及びソース/ドレイン・コンタクト・メタライゼーション650に電気的に結合される。図78A、図78B、図78Cの実施形態において、ホスト基板202は、導電ピン7701、7703が、位置合わせされ、ひいては、電気試験のために表側構造7811、7813に接触され得るような表側構造7811、7813を含む。例えば、導電ピン7701、7703は、プローバの複数のピンの個々の導電ピンであってよい。本明細書で説明されるように、導電ピン7701、7703は、ホスト基板202の表側構造7811、7813にそれぞれ位置合わせされ、表側構造7811、7813と接触される。(以下で説明されるように)そのような表側の接触及び裏側の接触後に、電気試験が実行される。
ホスト基板202の表側構造7811、7813は、ソース/ドレイン半導体640に導電ピン7701、7703を電気的に結合するための任意の適切な構造及び材料を含んでよい。例えば、表側構造7811、7813は、導電パッド又はトレースなであってよく、表側構造7811、7813あ、例えば、銅などの金属であってよい、又は、銅などの金属含んでよい。さらに、ホスト基板202及び表側積層体690は、電気試験のために、表側構造7811、7813から対応する端子までの電気配線、ルーティング又はコンタクトなどを提供する。そのような電気的結合は、導電ピン7701、7703をソース/ドレイン半導体640に接続する斜線により示される。先に論じられたように、表側構造7811、7813が、これらの対応するフィーチャ及び/又は端子の直接上方にある必要はない(そのような配向が、提示を明瞭にする目的で示される)。さらに、表側構造から、対応するフィーチャ及び/又は端子へのルーティングは、ホスト基板202及び表側積層体690を通じて任意の適切なルートを取ってよい。
また、図78A及び78Bに示されるように、導電ピン7702は、裏側ゲート電極2873と物理的に接触している。電気試験のコンテキストにおいて、裏側ゲート電極2873は、論理トランジスタ構造774の裏側を通って露出される裏側構造を提供する。導電ピン7701、7703に関して説明されてように、導電ピン7702は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7702は、裏側ゲート電極2873に位置合わせされて接触される。接触後に、ソース/ドレイン半導体640に導電ピン7701、7703を結合している間、電気試験データを生成するために電気試験が実行される。いくつかの実施形態において、図76A、図76B、図76Cに関してさらに説明されるように、導電ピン7702は、裏側メタライゼーション積層体及び/又はホスト基板の裏側構造と接触してよく、電気的なルーティングが裏側ゲート電極2873に提供されてよい。
図77~図80Cの実施形態は、ラップアラウンド裏側ゲート電極2873を示す。実施形態において、表側の接触は、ソース/ドレイン半導体640に導電ピン7701、7703を結合する例において、裏側ゲート電極2873の表側に提供され得る。本明細書で説明されるように、他の実施形態において、裏側ゲート電極2873は、別個の表側ゲート電極及び裏側ゲート電極が提供されるようなデュアルゲート電極であってよい。そのような実施形態において、そのようなデュアルゲート電極のいずれ一方又は両方が、論理トランジスタ構造774の表側及び/又は裏側から接触されてよい。
図79A、図79B、図79Cは、いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造774の断面図を示す。例えば、図79A、図79B、図79Cは、論理トランジスタ構造774が、表側積層体690と、ホスト基板202が、表側積層体690の表側構造を通じて電気試験用のピンを通過させる開口を含むように、その表側の上方に配置されるホスト基板202とを含む実施形態に関する電気試験構造を提供する。図79A、図79B、図79Cに示される構造的なフィーチャは、同様の参照番号について本明細書で説明された特性のいずれかを有してよい。示されるように、論理トランジスタ構造774は、デバイス層215、裏側ゲート誘電体2845上又はその上方に配置されるゲート電極2873、ソース/ドレイン半導体640に結合されるソース/ドレインメタライゼーション650を含む。本明細書で用られるように、ゲート電極2873及び/又はソース/ドレイン半導体640のいずれかがトランジスタ端子であってよい。電気試験のコンテキストにおいて、そのような端末への電気的結合が実現されてよく、論理トランジスタ構造774が試験されてよい。
また、図79B及び図79Cに示されるように、1つの開口又は複数の開口7901は、導電ピン7701、7703が、電気試験のために、ホスト基板202を通過して、表側積層体690の表側構造7911、7913と物理的に接触するように、ホスト基板202に提供されてよい。さらに、導電ピン7701、7703は、表側積層体690及びソース/ドレインメタライゼーション650を通じてソース/ドレイン半導体640に電気的に結合される。図79A、図79B、図79Cの実施形態において、表側積層体690は、本明細書で説明されるように、電気試験のために、導電ピン7701、7703が位置合わせされ、ひいては、表側構造7911、7913に接触され得るような表側構造7911、7913を含む。例えば、導電ピン7701、7703は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7701、7703は、表側積層体690の表側構造7911、7913にそれぞれ位置合わせされ、表側構造と接触される。(以下で説明されるように)そのような表側の接触及び裏側の接触後に、電気試験が実行される。
表側積層体690の表側構造7911、7913は、ソース/ドレインメタライゼーション650に導電ピン7701、7703をそれぞれ電気的に結合するための任意の適切な構造及び材料を含んでよい。例えば、表側構造7911、7913は、導電パッド又はトレースなどであってよく、例えば、銅などの金属であってよい、又は、銅などの金属を含んでよい。さらに、表側積層体690は、電気試験のために、表側構造7911、7913から対応する端子までの電気配線、ルーティング又はコンタクトなどを提供する。そのような電気的結合が、導電ピン7701、7703をソース/ドレイン半導体640に接続する斜線により示される。理解されるように、表側構造7911、7913が、これらの対応するフィーチャ及び/又は端子の直接上方にある必要はない(そのような配向が、提示を明瞭にする目的で示される)。さらに、表側構造7911、7913から、対応するフィーチャ及び/又は端子へのルーティングは、表側積層体690を通じて任意の適切なルートを取ってよい。
また、図79A及び図79Bに示されるように、導電ピン7702は、裏側ゲート電極2873と物理的に接触している。電気試験のコンテキストにおいて、裏側ゲート電極2873は、論理トランジスタ構造774の裏側を通って露出させた裏側構造を提供する。本明細書で説明されるように、導電ピン7702は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7702は、裏側ゲート電極2873に位置合わせされて接触される。接触後に、ソース/ドレイン半導体640に導電ピン7701、7703を結合している間、電気試験データを生成するために電気試験が実行される。いくつかの実施形態において、図76A、図76B、図76Cに関してさらに説明されるように、導電ピン7702は、裏側メタライゼーション積層体及び/又はホスト基板の裏側構造と接触してよく、電気的なルーティングが裏側ゲート電極2873に提供されてよい。
図80A、図80B、図80Cは、いくつかの実施形態に従う、電気試験用の導電ピンにより接触される論理トランジスタ構造774の断面図を示す。例えば、図80A、図80B、図80Cは、論理トランジスタ構造774が、その表側の上方に配置される表側積層体690であって、電気試験用のピンと接触するための表側構造を含む、表側積層体690と、その裏側の上方に配置される裏側積層体1690であって、電気試験用のピンと接触するための裏側構造を含む、裏側積層体1690とを含む実施形態に関する電気試験構造を提供する。図80A、図80B、図80Cに示される構造的なフィーチャは、同様の参照番号に関して本明細書で説明される特性のいずれかを有してよい。さらに、裏側積層体1690は、本明細書で説明される例、例えば、図78A、図78B、図78C及び図79A、図79B、図79Cのこれらのいずれかに任意選択で含まれてよい。本明細書で説明されるように、論理トランジスタ構造774は、裏側ゲート誘電体2845上及びその上方に配置される裏側ゲート電極2873と、ソース/ドレイン半導体640に結合されるソース/ドレインメタライゼーション650と、ソース/ドレイン半導体640に結合されるソース/ドレインメタライゼーション650とを含む。本明細書で用いられるように、ゲート電極2873及び/又はソース/ドレイン半導体640のいずれかがトランジスタ端子であってよい。電気試験のコンテキストにおいて、そのような端末への電気的結合が実現されてよく、論理トランジスタ構造774が試験されてよい。
また、図80B及び図80Cに示されるように、導電ピン7701、7703は、表側積層体690と物理的に接触している。さらに、導電ピン7701、7703は、表側積層体690を通じてソース/ドレイン半導体640に電気的に結合される。図80A、図80B、図80Cの実施形態において、表側積層体690は、電気試験のために、導電ピン7701、7703が位置合わせされ、ひいては、表側構造8011、8013に接触され得るような表側構造8011、8013を含む。例えば、導電ピン7701、7703は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7701、7703は、表側積層体690の表側構造8011、8013に位置合わせされ、表側構造と接触される。(以下で説明されるように)そのような表側の接触及び裏側の接触後に、電気試験が実行される。
表側積層体690の表側構造8011、8013は、ソース/ドレイン半導体640に導電ピン7701、7703を電気的に結合するための任意の適切な構造及び材料を含んでよい。例えば、表側構造8011、8013は、導電パッド又はトレースなどであってよく、例えば、銅などの金属であってよい又は銅などの金属を含んでよい。実施形態において、表側構造8011、8013は、複数の表側メタライゼーション層のうちの最後の表側メタライゼーション層(例えば、金属8)から成るメタライゼーション構造である。さらに、表側積層体690は、電気試験のために、表側構造8011、8013から対応する端子までの電気配線、ルーティング又はコンタクトなどを提供する。そのような電気的結合は、ソース/ドレイン半導体640に導電ピン7701、7703を接続する斜線により示される。先に論じられたように、表側構造7701、7703は、これらの対応するフィーチャ及び/又は端子の直接上方にある必要はない。さらに、表側構造から、対応するフィーチャ及び/又は端子へのルーティングは、表側積層体690を通じて任意の適切なルートを取ってよい。
また、図80A及び図80Bに示されるように、導電ピン7702は、裏側積層体1690と物理的に接触している。導電ピン7702は、裏側積層体1690を通じて裏側ゲート電極2873に電気的に結合される。図80A、図80B、図80Cの実施形態において、裏側積層体1690は、電気試験のために、導電ピン7702が位置合わせされ、ひいては、接触され得るような裏側構造8012を含む。例えば、導電ピン7702は、プローバの複数のピンの個々の導電ピンであってよい。導電ピン7702は、裏側積層体1690の裏側構造8012に位置合わせされて接触される。接触後に、ソース/ドレイン半導体640に導電ピン7701、7703を結合している間、電気試験データを生成するために電気試験が実行される。
裏側積層体1690の裏側構造8012は、裏側ゲート電極2873に導電ピン7702を電気的に結合するための任意の適切な構造及び材料を含んでよい。例えば、裏側構造8012は、導電パッド又はトレースなどであってよく、例えば銅などの金属であってよい。実施形態において、裏側構造8012は、複数の裏側メタライゼーション層のうちの最後の裏側メタライゼーション層(例えば、金属8)から成るメタライゼーション構造である。さらに、裏側積層体1690は、電気試験のために、裏側構造8012から対応する端子までの電気配線、ルーティング又はコンタクトなどを提供する。そのような電気的結合は、導電ピン7702を裏側ゲート電極2873に接続する斜線により示される。裏側構造は、これらの対応するフィーチャ及び/又は端子と直接一列になる必要はなく、裏側構造から、対応するフィーチャ及び/又は端子へのルーティングは、裏側積層体1690を通じて任意の適切なルートを取ってよい。
図81は、例えば、本明細書の他の場所で説明されるように、裏側から暴露されている表側構造を含む少なくとも1つのデバイス階層を含む集積回路を使用するモバイルコンピューティングプラットフォーム及びデータサーバマシンを示す。サーバマシン8106は、例えば、ラック内に配置され、電子データ処理用に共にネットワーク化された任意の数の高性能コンピューティングプラットフォームを含む任意の商用サーバであってよく、例示的な実施形態では、パッケージ化されたモノシリックSoC8150を含む。モバイルコンピューティングプラットフォーム8105は、電子データ表示、電子データ処理又は無線電子データ伝送などのそれぞれのために構成される任意のポータブルデバイスであってよい。例えば、モバイルコンピューティングプラットフォーム8105は、タブレット、スマートフォン、ラップトップコンピュータなどのいずれかであってよく、ディスプレイスクリーン(例えば、容量式、誘起、抵抗又は光タッチスクリーン)、チップレベル又はパッケージ化レベルの統合システム8110及びバッテリ8115を含んでよい。
拡大図8120に示される統合システム8110内に、又は、サーバマシン8106内のスタンドアロン型のパッケージ化されたチップとしてのいずれか一方で配置される、モノシリックSoC8150は、例えば、本明細書の他の場所で説明される、裏側から暴露されている表側構造を含む少なくとも1つのデバイス階層を含む、メモリブロック(例えば、RAM)、プロセッサブロック(例えば、マイクロプロセッサ、マルチコアマイクロプロセッサ又はグラフィックスプロセッサなど)を含む。モノシリックSoC8150は、電力管理集積回路(PMIC)8130、(例えば、デジタルベースバンドを含み、アナログフロントエンドモジュールが、送信経路上の電力増幅器及び受信経路上の低雑音増幅器をさらに有する)ワイドバンドRF(無線)送信機及び/又は受信器(Tx/Rx)を含むRF(無線)集積回路(RFIC)8125と、コントローラ8135とのうちの1又は複数と共に、ボード、基板又はインターポーザ8160にさらに結合されてよい。
機能上、PMIC8130は、バッテリ電力制御、DC‐DC変換などを実行してよく、そのため、バッテリ8115に結合される入力と、他の機能モジュールに対する電流供給を提供する出力とを有する。さらに示されるように、例示的な実施形態において、RFIC8125は、限定されないが、Wi‐Fi(登録商標)(IEEE802.11ファミリー)、WiMAX(登録商標)(IEEE802.16ファミリー)、IEEE 802.20、ロング・ターム・エボリューション(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、ブルートゥース(登録商標)、これらの派生語、同様に、3G、4G、4G及びそれ以降として指定される任意の他の無線プロトコルを含む多数の無線規格又はプロトコルのいずれかを実装するアンテナ(図示されておらず)に結合される出力を有する。代替的な実施例において、これらのボードレベルモジュールのそれぞれは、別個のIC上に統合されてよい、又は、モノシリックSoC8150に統合されてよい。
図82は、いくつかの実施形態に従う、電子計算機の機能ブロック図である。コンピューティングデバイス8200は、例えば、プラットフォーム8205又はサーバマシン8206の内部に見つけられ得る。デバイス8200は、多数のコンポーネント、例えば、限定されることはないが、プロセッサ8204(例えば、アプリケーションプロセッサ)をホストするマザーボード8202をさらに含み、例えば、本明細書の他の場所で説明されるように、裏側から暴露されている表側構造を含む少なくとも1つのデバイス階層をさらに組み込んでよい。プロセッサ8204は、マザーボード8202に物理的及び/又は電気的に結合されてよい。いくつかの例において、プロセッサ8204は、プロセッサ8204内でパッケージ化された集積回路ダイを含む。一般に、「プロセッサ」又は「マイクロプロセッサ」という用語は、レジスタ及び/又はメモリからの電子データを処理して、その電子データを、レジスタ及び/又はメモリにさらに格納され得る他の電子データに変換する任意のデバイス又はデバイスの一部を指す可能性がある。
様々な例において、1又は複数の通信チップ8206は、マザーボード8202にも物理的及び/又は電気的に結合されてよい。さらなる実施例において、通信チップ8206は、プロセッサ8204の一部であってよい。そのアプリケーションに依存して、コンピューティングデバイス8200は、マザーボード8202に物理的かつ電気的に結合されてもされなくてもよい他のコンポーネントを含んでよい。これらの他のコンポーネントは、限定されないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィクプロセッサ、デジタル信号プロセッサ、暗号プロセッサ、チップセット、アンテナ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、電力増幅器、全地球測位システム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ及び大容量記憶デバイス(例えば、ハードディスクドライブ、ソリッドステートドライブ(SSD)、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)又はその他など)を含む。
通信チップ8206は、コンピューティングデバイス8200への及びコンピューティングデバイス8200からのデータの転送のための無線通信を可能にし得る。「無線」という用語及びその派生語は、回路、デバイス、システム、方法、技術、通信チャネルなどを説明するために用いられてよく、非ソリッド媒体を通じて、変調された電磁放射の使用を通じてデータを通信してよい。この用語は、関連するデバイスが有線をまったく含まないことを示唆するものではないが、いくつかの実施形態においてはそうではないこともあり得る。通信チップ8206は、多数の無線規格又はプロトコルのいずれかを実装してよく、限定されないが、本明細書の他の場所で説明されるものを含む。先に論じられたように、コンピューティングデバイス8200は、複数の通信チップ8206を含んでよい。例えば、第1の通信チップは、より短い範囲の無線通信、例えば、Wi-Fi(登録商標)及びブルートゥース(登録商標)に専用であってよく、第2の通信チップは、より長い範囲の無線通信、例えば、GPS、EDGE、GPRS、CDMA、WiMAX(登録商標)、LTE、Ev-DO及びその他に専用であってよい。
本明細書にて説明される特定の機能が様々な実施例を参照して説明されてきたが、本説明は、限定的な意味で解釈されることは意図されていない。従って、本明細書に説明される実施例の様々な修正、同様に、本開示に関係する当業者にとって明らかな他の実施例は、本開示の趣旨及び範囲内にあるものとみなされる。
1又は複数の第1例において、トランジスタ構造が、フィールド分離誘電体に隣接した1又は複数の本体を有し、本体は半導体を有する。1又は複数のゲートスタックが本体の側壁に隣接して配置され、ゲートスタックはゲート誘電体及びゲート電極を含む。この構造は、本体に結合されるソース及びドレインと、ソース、ドレイン又はゲート電極のうちの少なくとも1つに結合され、かつ、本体の第1面上及びフィールド分離誘電体の第1面上に配置される表側インターコネクトメタライゼーションレベルとを備える。この構造は、第1面と対向する、本体の第2面及びフィールド分離誘電体の第2面に配置される裏側分離誘電体を備え、裏側分離誘電体は暴露を3.9より小さい比誘電率を有する。
1又は複数の第2例において、第1例のいずれかについて、裏側分離誘電体は、フィールド分離誘電体のあらゆる材料層の比誘電率より小さい比誘電率を有する。
1又は複数の第3例において、第1から第2例のいずれかについて、裏側分離誘電体は、裏側分離誘電体と直接接触する分離誘電体の面と実質的に平坦な本体の面と直接接触している。
1又は複数の第4例において、第1から第3例のいずれかについて、表側インターコネクトメタライゼーションレベルは、裏側分離誘電体と同一の材料の少なくとも1つの層により本体から又はお互いから分離された複数のインターコネクトメタライゼーションレベルを有する表側バックエンドのインターコネクトメタライゼーション積層体におけるレベルである。
1又は複数の第5例において、第1から第4例のいずれかについて、裏側分離誘電体は、SiOC、SiOCH、HSQ又はMSQのうちの少なくとも1つを有する。
1又は複数の第6例において、第1から第5例のいずれかについて、裏側分離誘電体は、本体の第2面にランディングする裏側トレンチ内に存在する。
1又は複数の第7例において、第1から第6例のいずれかについて、裏側分離誘電体は、ゲートスタックの側壁にさらに配置され、ソース及びドレインからゲートスタックを分離する。
1又は複数の第8例において、第7例のいずれかについて、裏側分離誘電体は、本体の側壁にさらに配置される。
1又は複数の第9例において、第1から第8例のいずれかについて、この構造は、ソース及びドレインからゲートスタックを分離するボイドをさらに有し、ボイドは裏側分離誘電体により塞がれる。
1又は複数の第10例において、トランジスタ構造が、フィールド分離誘電体に隣接した1又は複数の本体を備え、本体は半導体材料を有する。この構造は、本体の側壁に隣接して配置される1又は複数のゲートスタックと、本体に結合されるソース及びドレインと、ソース、ドレイン、又はゲートスタックに結合され、かつ、フィールド分離誘電体及び本体の第1面上に配置される表側インターコネクトメタライゼーションレベルとを備える。この構造は、第1面と対向する、フィールド分離誘電体及び本体の第2面に配置される裏側インターコネクトメタライゼーションレベルを備え、裏側インターコネクトメタライゼーションレベルは、表側インターコネクトメタライゼーションレベルとは異なる組成を有する。
1又は複数の第11例において、第10例のいずれかについて、表側インターコネクトメタライゼーションレベルは主に銅であり、かつ、裏側インターコネクトメタライゼーションが主に銅以外であるか、又は、表側インターコネクトメタライゼーションレベルは主に銅以外であり、かつ、裏側インターコネクトメタライゼーションが主に銅である。
1又は複数の第12例において、第10から第11例のいずれかについて、この構造は、フィールド分離誘電体における裏側トレンチ内に配置されたソース又はドレイン半導体をさらに備え、トレンチは、本体の第2面にランディングする。
1又は複数の第13例において、第10から第12例のいずれかについて、トレンチは、本体より小さな長手方向の長さ及び本体と実質的に等しい横断方向の幅を有する。
1又は複数の第14例において、構造が、分離誘電体に隣接する単結晶半導体材料を有する1又は複数の本体を備える。この構造は、本体の側壁に隣接して配置された1又は複数のゲートスタック、及び、本体に結合された半導体を有するソース及びドレインを備える。この構造は、ゲートスタックにより接触されない、本体の裏側表面上に配置された裏側デバイス層を備える。裏側デバイス層は、本体の組成とは異なる組成を有する半導体材料を含む。この構造は、裏側デバイス層に電気的に結合された裏側デバイス端子を備える。
1又は複数の第15例において、第14例のいずれかについて、この構造は、薄膜トランジスタ(TFT)上に積層された電界効果トランジスタ(FET)を備える。裏側デバイス層は、TFTのゲート半導体部分をさらに有し、裏側デバイス端子は、第2ゲート半導体部分に結合されたTFTのソース又はドレインをさらに有する。
1又は複数の第16例において、第15例のいずれかについて、裏側デバイス層は、多結晶又は非結晶半導体を有する。
1又は複数の第17例において、第16例のいずれかについて、金属酸化物はIGZOを含む。
1又は複数の第18例において、第15から第17例のいずれかについて、TFTは、2又はそれより多い多結晶又は非結晶半導体を含むトンネリングFET(TFET)をさらに有する。
1又は複数の第19例において、第15から第18例のいずれかについて、本体と裏側デバイス層との間に介在する裏側分離誘電体が配置され、裏側分離誘電体は3.9より小さい比誘電率を有する。
1又は複数の第20例において、トランジスタ構造を製造する方法が、裏側キャリア層上に配置される第1のデバイス層を有するドナー基板を受容する段階を備え、第1のデバイス層は半導体材料を有する。この方法は、第1のデバイス層のフィーチャの側壁に隣接するフィールド分離誘電体によって、第1のデバイス層に1又は複数の第1のデバイス層のフィーチャを形成する段階を備える。この方法は、第1のデバイス層のフィーチャに結合される第1の表側デバイス端子を形成する段階を備える。この方法は、キャリア層に対向する面でホスト基板が第1のデバイス層のフィーチャを向くよう、ホスト基板をドナー基板と接合する段階を備える。この方法は、キャリア層の少なくとも一部を除去することにより、第1のデバイス層のフィーチャの裏側を暴露する段階を備える。この方法は、第1のデバイス層のフィーチャの裏側に第2のデバイス層を堆積する段階を備え、第2のデバイス層は半導体材料を有する。この方法は、第2のデバイス層に結合される裏側デバイス端子を形成する段階を備える。
1又は複数の第21例において、第20例のいずれかについて、この方法は、第1のデバイス層のフィーチャに、半導体を有する第1のソース又はドレインを堆積する段階と、第1のソース又はドレインに結合される第1のコンタクト金属を形成する段階とをさらに備える。第2のデバイス層を堆積する段階は、半導体を有する第2のソース又はドレインを堆積する段階をさらに有し、裏側デバイス端子を形成する段階は、第1のソース又はドレインに結合される第2のコンタクト金属を形成する段階をさらに有する。
1又は複数の第22例において、第20から第21例のいずれかについて、第1のデバイス層のフィーチャを形成する段階は、第1のトランジスタチャネルを形成する段階をさらに有する。第1の表側デバイス端子を形成する段階は、第1のゲート電極を形成する段階をさらに有する。裏側デバイス層を堆積する段階は、第2のトランジスタチャネル半導体を堆積する段階をさらに有する。裏側デバイス端子を形成する段階は、第2のトランジスタチャネル上に第2のゲート電極を形成する段階をさらに有する。
1又は複数の第23例において、第22例のいずれかについて、この方法は、第1のデバイス層に接触するソース又はドレインを形成する段階と、第2のデバイス層に接触するソース又はドレインを形成する段階とをさらに備える。
1又は複数の第24例において、トランジスタ構造を製造する方法が、基板の半導体デバイス層から1又は複数のトランジスタデバイス領域を形成する段階を備える。この方法は、半導体デバイス層の第1面上に、1又は複数のインターコネクトメタライゼーションレベルを有する表側積層体を製造する段階を備える。この方法は、基板の1又は複数の層を除去すること又は薄化することにより、第1面と対向する、半導体デバイス層又はトランジスタデバイス領域の第2面の少なくとも一部を暴露する段階を備える。この方法は、半導体デバイス層又はトランジスタデバイス領域の暴露された第2面の上に裏側分離誘電体層を形成する段階を備え、裏側分離誘電体層は、3.9より小さな比誘電率を有する。
1又は複数の第25例において、第24例のいずれかについて、裏側分離誘電体層は、SiOC、SiOCH、HSQ又はMSQのうちの少なくとも1つを有する。
1又は複数の第26例において、第24から第25例のいずれかについて、表側積層体を製造する段階は、3.9より小さい比誘電率を有するlow‐k誘電材料を含む中間誘電体(ILD)層を堆積する段階をさらに有し、裏側分離誘電体層を形成する段階は、フィールド分離誘電体及び半導体デバイス層又はトランジスタデバイス領域の暴露された第2面の上にlow‐k誘電材料を堆積する段階をさらに有する。
1又は複数の第27例において第24から第26例のいずれかについて、裏側分離誘電体層を形成する段階は、デバイス層の一部を誘電体材料に変換する段階をさらに有する。
1又は複数の第28例において、第24から第27例のいずれかについて、デバイス層はシリコンを含み、裏側分離誘電体層を形成する段階は、熱又はプラズマエンハンスド酸化プロセスによって、シリコンの一部を二酸化ケイ素に変換する段階をさらに有する。
1又は複数の第29例において、第24から第28例のいずれかについて、1又は複数のトランジスタデバイス領域を形成する段階は、デバイス層を複数の本体にパターニングする段階と、本体の間にフィールド分離誘電体を堆積する段階をさらに有し、フィールド分離誘電体は、裏側分離誘電体とは異なる材料組成を有する。
1又は複数の第30例において、第29例のいずれかについて、裏側分離誘電体はフィールド分離誘電体より低い比誘電率を有する。
1又は複数の第31例において、第29例のいずれかについて、半導体デバイス層又はトランジスタデバイス領域の第2面の少なくとも一部を暴露する段階は、r個の本体のそれぞれと位置合わせされたトレンチを形成すべく、半導体デバイス層の第2面をフィールド分離誘電体に対して選択的にリセスエッチングする段階をさらに有し、裏側分離誘電体を形成する段階は、裏側分離誘電体によってトレンチを埋め戻す段階をさらに有する。
1又は複数の第32例において、第24から第31例のいずれかについて、トランジスタデバイス領域は半導体フィンを有し、トランジスタデバイス領域の第2面の少なくとも一部を暴露する段階は、半導体フィンの側壁から選択的に誘電体スペーサをエッチングする段階をさらに有する。
1又は複数の第33例において、第32例のいずれかについて、誘電体スペーサをエッチングする段階は、半導体フィンの側壁上に配置されたゲートスタックの側壁から誘電体スペーサをエッチングする段階をさらに有する。
1又は複数の第34例において、第32例のいずれかについて、この方法は、誘電体スペーサをエッチングすることにより形成されたリセスを埋め戻す段階により誘電体スペーサを置き換える段階をさらに備え、埋め戻す段階は、誘電体スペーサより低い比誘電率を有する1又は複数の誘電体材料の堆積を有する。
1又は複数の第35例において、第24から第34例のいずれかについて、埋め戻す段階は、3.9より小さい比誘電率を有する1又は複数の誘電体材料の堆積を有する。
1又は複数の第36例において、第35例のいずれかについて、埋め戻す段階は、SiOC、SiOCH、HSQ、又はMSQから成る群から選択される1又は複数の誘電体材料の堆積を有する。
1又は複数の第37例において、第32例のいずれかについて、この方法は、誘電体スペーサエッチングにより形成されたリセスを非コンフォーマルに堆積される誘電体材料で塞ぐことにより、誘電体スペーサをボイドで置き換える段階をさらに備える。
1又は複数の第38例において、集積回路(IC)を製造する方法が、裏側層上に配置された表側デバイス層を有する基板を受容する段階を備え、デバイス層は、第1のデバイスの第1のデバイス領域及び第2のデバイスの第2のデバイス領域を含む。この方法は、裏側層の少なくとも部分的な厚さを除去することにより、第2のデバイス領域に対して選択的に第1のデバイス領域の裏側を暴露する段階を備える。この方法は、暴露された第1のデバイス領域上に材料を形成する段階を備える。
1又は複数の第39例において、第38例のいずれかについて、第1のデバイスはプレーナ型トランジスタであり、第2のデバイスは非プレーナ型トランジスタである。
1又は複数の第40例において、第38から第39例のいずれかについて、第1のデバイスはn型トランジスタであり、第2のデバイスはp型トランジスタである。
1又は複数の第41例において、第38から第40例のいずれかについて、第1のデバイスは、論理トランジスタ、メモリトランジスタ、又はパワートランジスタのうちの1つであり、第2のデバイスは、論理トランジスタ、メモリトランジスタ、又はパワートランジスタのうちの異なる1つである。
1又は複数の第42例において、第38から第41例のいずれかについて、第1のデバイス及び第2のデバイスは、集積回路の同じセル内に提供される。
1又は複数の第43例において、第38から第42例のいずれかについて、第1のデバイス及び第2のデバイスは、集積回路の異なるセル内に提供される。
1又は複数の第44例において、第38から第43例のいずれかについて、第1のデバイス領域及び第2のデバイス領域は、チャネル半導体又はソース/ドレイン半導体のうちの少なくとも1つを有する。
1又は複数の第45例において、第38から第44例のいずれかについて、第2のデバイス領域に対して選択的に第1のデバイス領域を暴露する段階は、パターニングされたマスクを裏側層上に形成する段階であって、パターニングされたマスクは第2のデバイス領域の裏側を保護する、形成する段階と、第1のデバイス領域を露出すべく、裏側層のマスクされていない部分においてリセスをエッチングする段階とを有する。
1又は複数の第46例において、第38から第45例のいずれかについて、第2のデバイス領域に対して選択的に第1のデバイス領域を暴露する段階は、表側半導体デバイス層に隣接する2又はそれより多い材料を含む介在層を暴露すべく、裏側層の第1の厚さの完全裏側除去を実施する段階と、第1のデバイス領域を露出すべく、第2の介在材料層材料に対して選択的に第1の介在層の材料をエッチングする段階とを有する。
1又は複数の第47例において、第38から第46例のいずれかについて、第1のデバイス領域及び第2のデバイス領域は非プレーナ型の裏側表面を有し、第2のデバイス領域に対して選択的に第1のデバイス領域を暴露する段階は、第2のデバイス領域の裏側を暴露することなく第1のデバイス領域の裏側を暴露すべく、平坦化の方式で、第1のデバイス領域と第2のデバイス領域の両方わたって裏側層の厚さを除去する段階を有する。
1又は複数の第48例において、集積回路(IC)を製造する方法が、間に介在層が配置された、裏側キャリア層上に配置された表側半導体デバイス層を有するドナー基板を受容する段階を備える。この方法は、デバイス層から1又は複数の半導体領域を有するデバイスを製造する段階を備える。この方法は、キャリア層に対向する面でホスト基板がデバイス層を向くよう、ホスト基板をドナー基板と接合する段階を備える。この方法は、キャリア層及び介在層の少なくとも一部を除去することにより、デバイス層又はデバイス層に形成された1又は複数のデバイス領域を暴露する段階を備える。この方法は、暴露されたデバイス層又は半導体領域上に非天然材料を堆積する段階を備える。
1又は複数の第49例において、第48例のいずれかについて、キャリア層の少なくとも一部を除去する段階は、介在層を露出するためにキャリア層の厚さを通した化学機械研磨(CMP)、介在層を露出するためにキャリア層の厚さを通したプラズマエッチング、又は、介在層を露出するためにキャリア層の厚さを通したウェット化学エッチングのうちの少なくとも1つを有する。
1又は複数の第50例において、第49例のいずれかについて、キャリア層の少なくとも一部を除去する段階は、介在層を露出すべく、キャリア層に残る厚さを通してポリッシング又はエッチングする段階の前に、介在層に対して実質的に平行な破砕面に沿ってキャリア層を劈開する段階をさらに有する。
1又は複数の第51例において、第49から第50例のいずれかについて、介在層の少なくとも一部を除去する段階は、デバイス層の裏側を露出すべく、介在層を通してエッチング又はポリッシングする段階をさらに有する。
1又は複数の第52例において、第51例のいずれかについて、デバイス層における1又は複数のデバイス領域を暴露する段階は、介在層と1又は複数のデバイス領域との間に配置されたデバイス層の厚さを通してエッチング又はポリッシングする段階をさらに有する。
1又は複数の第53例において、第48から第52例のいずれかについて、介在層は、キャリアの除去の間に検出可能なマーカを有する。
1又は複数の第54例において、第53例のいずれかについて、介在層はエッチングストップ層を有し、キャリアの除去は、エッチングストップ層に対してキャリアにとって選択的である。
1又は複数の第55例における、第53例のいずれかについて、ドナー基板の裏側表面をポリッシング又はエッチングする段階の間の光の吸収又は放射、ドナー基板の裏側表面をポリッシング又はエッチングする段階の間の副生成物の光の吸収又は放射、ドナー基板の裏側表面をエッチングする段階の副生成物における種の質量、又は、ドナー基板の裏側表面とドナー基板の裏側表面と接触するポリッシング面との間の摩擦のうちの1又は複数における変化を監視することによりマーカを検出する。
1又は複数の第56例において、第48から第55例のいずれかについて、非天然材料を堆積する段階は、デバイス領域の少なくとも1つの裏側上に裏側金属を堆積することにより、デバイス領域の1つを電気的に相互接続する段階を有する。
1又は複数の第57例において、第56例のいずれかについて、デバイスは、チャネル半導体により分離されたソース及びドレインを有する電界効果トランジスタ(FET)を含む。1又は複数のデバイス領域は、チャネル半導体を含む。ゲート電極及びゲート誘電体を含むゲート電極積層体は、チャネル半導体上にある。表側コンタクト金属は、ゲート電極、ソース半導体及びドレイン半導体のうちの少なくとも1つの少なくとも表側と接触する。デバイス領域を電気的に相互接続する段階は、ソース半導体及びドレイン半導体、ゲート電極、又は、表側コンタクト金属のうちの少なくとも1つの裏側を暴露する段階と、ソース半導体及びドレイン半導体、ゲート電極、又は、表側コンタクト金属のうちの少なくとも1つの少なくとも裏側と接触する裏側コンタクト金属を堆積する段階とをさらに有する。
1又は複数の第58例において、第48から第57例のいずれかについて、非天然材料を堆積する段階は、デバイス層の裏側の少なくとも一部又はデバイス領域の1つの上に裏側分離誘電体を堆積することにより、デバイスの裏側を電気的に分離する段階をさらに有する。
1又は複数の第59例において、第58例のいずれかについて、デバイスは、チャネル半導体により分離されたソース及びドレインを有する電界効果トランジスタ(FET)を含む。1又は複数の半導体領域はチャネル半導体を含む。ゲート電極及びゲート誘電体を含むゲート電極積層体は、チャネル半導体上にある。表側コンタクト金属は、ゲート電極、ソース半導体及びドレイン半導体のうちの少なくとも1つの少なくとも表側と接触する。デバイス領域を電気的に分離する段階は、ソース半導体、チャネル半導体、ドレイン半導体、ゲート電極、又は表側コンタクト金属のうちの少なくとも1つの裏側を暴露する段階と、ソース半導体、チャネル半導体、ドレイン半導体、ゲート電極、又は表側コンタクト金属のうちの少なくとも1つの少なくとも裏側と接触する裏側分離誘電体を堆積する段階とをさらに有する。
1又は複数の第60例において、第48から第59例のいずれかについて、非天然材料を堆積する段階は、半導体領域の裏側の少なくとも一部の上に、裏側のドープされた半導体を堆積する段階をさらに有する。
1又は複数の第61例において、第60例のいずれかについて、デバイスは、チャネル半導体により分離されたソース及びドレインを有する電界効果トランジスタ(FET)を含む。デバイス層における1又は複数のデバイス領域は、チャネル半導体を含む。ゲート電極及びゲート誘電体を含むゲート電極積層体は、チャネル半導体上にある。表側コンタクト金属は、ゲート電極、ソース半導体及びドレイン半導体のうちの少なくとも1つの少なくとも表側と接触する。デバイス領域の少なくとも1つを電気的に相互接続する段階は、ソース半導体、チャネル半導体、ドレイン半導体、ゲート電極、又は表側コンタクト金属のうちの少なくとも1つの裏側を暴露する段階と、ソース半導体、チャネル半導体、ドレイン半導体、又は表側コンタクト金属のうちの少なくとも1つの裏側と接触する、裏側のドープされた半導体を堆積する段階とをさらに有する。
1又は複数の第62例において、第48から第61例のいずれかについて、この方法は、ドナー基板を形成する段階をさらに備え、この形成する段階は、キャリア層又はデバイス層の表面から介在層をエピタキシャル成長させること、キャリア層及びデバイス層のうちの少なくとも1つに種を注入すること、もしくは、キャリア層又はデバイス層の表面上に介在層を堆積することのうちの少なくとも1つにより、介在層を形成する段階をさらに有する。
1又は複数の第63例において、第48から第62例のいずれかについて、キャリア層は結晶性のIV族半導体を含み、介在層は第1のヘテロエピタキシャル結晶半導体を含み、デバイス層は第2のヘテロエピタキシャル結晶半導体を含む。
1又は複数の第64例において、第63例のいずれかについて、第1のヘテロエピタキシャル結晶半導体は、フィールド分離誘電体の開口内に配置された、第1のIII-V族又は第1のIII-N族材料を含む。第2のヘテロエピタキシャル結晶半導体は、フィールド分離誘電体の開口内かつ第1のIII‐V族材料に配置される第2のIII-V族材料、又は、フィールド分離誘電体上にて横方向に過成長され、かつ第1のIII‐V族材料に配置された第2のIII-N族材料のいずれかを含む。
1又は複数の第65例において、ダイを電気試験する方法が、ダイの裏側を通して結合された裏側構造を、電気試験装置のプローバの複数の導電ピンのうちの第1の導電ピンに位置合わせする段階を備える。この方法は、第1の導電ピンを裏側構造へ接触させる段階を備え、裏側構造は、トランジスタデバイスのトランジスタ端子への電気的結合を提供する。この方法は、第1の導電ピンが裏側構造に接触している間に、ダイに対応する電気試験データを生成すべく、少なくとも第1の導電ピンを通してダイに電気試験アルゴリズムを実行する段階を備える。
1又は複数の第66例において、第65例のいずれかについて、この方法は、ダイの表側を通して結合された表側構造を、電気テスタの第2のプローバの複数の導電ピンのうちの第2の導電ピンに位置合わせする段階と、第1の導電ピンが裏側構造に接触している間に、第2の導電ピンを表側構造へ接触させる段階とをさらに備える。表側構造は、トランジスタデバイスの第2のトランジスタ端子への電気的結合を提供し、第2の導電ピンを通して電気試験アルゴリズムは実行される。
1又は複数の第67例において、第66例のいずれかについて、トランジスタ端子はソース端子を有し、第2のトランジスタ端子はゲート端子又はドレイン端子を有する。
1又は複数の第68例において、第66例のいずれかについて、トランジスタ端子はソース端子を有し、第2のトランジスタ端子はゲート端子を有する。第2のプローバの導電ピンのうちの第3の導電ピンが、ダイの表側を通して露出された第2の表側構造と接触し、トランジスタデバイスのドレイン端子への電気的結合を提供する。
1又は複数の第69例において、第66例のいずれかについて、トランジスタ端子はトランジスタデバイスのゲート端子を有し、第2のトランジスタ端子はソース端子又はドレイン端子を有する。
1又は複数の第70例において、第69例のいずれかについて、ゲート端子はラップアラウンドゲート端子を有し、第2のプローバの複数の導電ピンのうちの第3の導電ピンは、ダイの表側を通して露出された第2の表側構造と接触し、ラップアラウンドゲート端子への電気的結合を提供する。
1又は複数の第71例において、第66例のいずれかについて、トランジスタ端子はデュアルゲートトランジスタデバイスの第1のゲート端子を有し、第2のトランジスタ端子はデュアルゲートトランジスタデバイスの第2のゲート端子を有する。
1又は複数の第72例において、第66例のいずれかについて、トランジスタ端子はデュアルゲートトランジスタデバイスの第1のゲート端子を有し、第2のトランジスタ端子はデュアルゲートトランジスタデバイスの第2のゲート端子を有する。第2のプローバの第3の導電ピンは、ダイの表側を通して露出された第2の表側構造と接触し、トランジスタデバイスのソース端子への電気的結合を提供する。第2のプローバの第4の導電ピンが、ダイの表側を通して露出された第3の表側構造と接触し、トランジスタデバイスのドレイン端子への電気的結合を提供する。
1又は複数の第73例において、第66例のいずれかについて、表側構造は第1の表側メタライゼーション層のメタライゼーション構造を有し、表側構造に接触させる段階は、第1の表側メタライゼーション層に隣接するホスト基板の開口を通して接触させる段階を有する。
1又は複数の第74例において、第65例のいずれかについて、裏側構造は、裏側ソース又はドレイン・コンタクト・メタライゼーション、裏側ゲート電極、又は裏側メタライゼーション積層体のメタライゼーション構造のうちの1つを有する。
1又は複数の第75例において、第65例のいずれかについて、この方法は、第2のダイの裏側を通して露出された第2の裏側構造を、電気テスタの第2のプローバの複数の導電ピンのうちの第2の導電ピンに位置合わせする段階と、第1の導電ピンを裏側構造に接触させている間に、第2の導電ピンを第2の裏側構造に接触させる段階であって、第2の裏側構造は、第2のダイの第2のトランジスタデバイスの第2のトランジスタ端子への電気的結合を提供する、接触させる段階と、電気試験アルゴリズムを実行している間に、第2のダイに対応する第2の電気試験データを生成すべく、少なくとも第2の導電ピンを通して第2のダイに第2の電気試験アルゴリズムを実行する段階とをさらに備える。
1又は複数の第76例において、第65例のいずれかについて、トランジスタ端子はソース端子を有し、第2のトランジスタ端子はゲート端子を有する。
1又は複数の第77例において、第65例のいずれかについて、この方法は、第2の裏側構造をプローバの1又は複数の導電ピンのうちの第2の導電ピンに位置合わせする段階と、第1の導電ピンを裏側構造に接触させている間に、第2の導電ピンを第2の裏側構造に接触させる段階とをさらに備え、第2の裏側構造は、ダイの試験デバイスへの電気的結合を提供する。
1又は複数の第78例において、第77例のいずれかについて、試験デバイスは、電気的に結合された半導体フィンのチェーンを有する。
1又は複数の第79例において、デバイス構造が、単結晶半導体材料を有し、分離誘電体に隣接する本体を備える。この構造は、本体の側壁に隣接するゲートスタックを備え、ゲートスタックは、ゲート誘電体により側壁から分離されたゲート電極を含む。この構造は、ゲートスタックの両側において本体に結合されたソース及びドレインを備える。この構造は、ソース、ドレイン、又はゲート電極のうちの少なくとも1つに結合される表側インターコネクトメタライゼーション層を備える。この構造は、表側インターコネクトメタライゼーション層に対向する、本体の裏側表面上の裏側デバイス層を備え、裏側デバイス層は、本体の組成とは異なる組成を有する第2の半導体材料を有する。この構造は、裏側デバイス層に電気的に結合される裏側デバイス端子を備える。
1又は複数の第80例において、第79例のいずれかについて、この構造は、第2のFET上に積層された第1の電界効果トランジスタ(FET)を備える。第2の半導体材料は単結晶である。第2のゲートスタックが第2の半導体材料に結合される。裏側デバイス端子は、第2の半導体材料に結合される第2のFETのソース又はドレインをさらに有する。
1又は複数の第81例において、第80例のいずれかについて、単結晶半導体材料は、第1のIV族又はIII-V族半導体を含む。第2の半導体材料は、第2のIV族又はIII-V族半導体を含む。
1又は複数の第82例において、第80例のいずれかについて、この構造は、裏側デバイス端子に結合される裏側インターコネクトメタライゼーション層をさらに備え、本体及び裏側デバイス層は、表側インターコネクトメタライゼーション層と裏側インターコネクトメタライゼーション層との間に配置される。
1又は複数の第83例において、第79例のいずれかについて、裏側デバイス端子は、FETのソース又はドレインのうちの一方と接触する。
1又は複数の第84例において、第79例のいずれかについて、この構造は、薄膜トランジスタ(TFT)上に積層された電界効果トランジスタ(FET)を備える。第2の半導体材料は多結晶又は非結晶である。第2のゲートスタックが第2の半導体材料に結合される。裏側デバイス端子は、第2の半導体材料に結合されるTFTのソース又はドレインをさらに有する。
1又は複数の第85例において、集積回路(IC)構造が、フィールド分離誘電体に隣接するトランジスタ本体を備え、トランジスタ本体は単結晶半導体材料を有する。このIC構造は、本体の側壁に隣接するゲートスタックを備え、ゲートスタックは、ゲート誘電体により側壁から分離されたゲート電極を含む。このIC構造は、ゲートスタックの両側においてトランジスタ本体に結合されたソース及びドレインを備える。このIC構造は、トランジスタ本体の第1面上及びフィールド分離誘電体上の表側インターコネクトメタライゼーション層を備え、表側インターコネクトメタライゼーション層は、第1のソース、ドレイン又はゲート電極に結合される。このIC構造は、本体の第2面上及びフィールド分離誘電体上の裏側インターコネクトメタライゼーション層を備え、裏側インターコネクトメタライゼーション層は、第2のソース、ドレイン又はゲート電極に結合され、裏側インターコネクトメタライゼーション層は、表側インターコネクトメタライゼーション層とは異なる組成を有する。
1又は複数の第86例において、第85例のいずれかについて、表側インターコネクトメタライゼーション層は、裏側インターコネクトメタライゼーション層の任意の金属合金よりも高い割合のCuを含む、又は、裏側インターコネクトメタライゼーション層は、表側インターコネクトメタライゼーション層の任意の金属合金より高い割合のCuを含む。
1又は複数の第87例において、第86例のいずれかについて、表側インターコネクトメタライゼーション層は、Ru、Rh、Pd、Ir、Pt、Au、W、Cr又はCoのうちの1又は複数の合金を含み、裏側インターコネクトメタライゼーション層はCuを含む。
1又は複数の第88例において、第87例のいずれかについて、裏側インターコネクト層はソースに結合され、表側インターコネクト層はゲート電極に結合され、裏側インターコネクトメタライゼーション層は、表側インターコネクトメタライゼーション層よりも大きい横寸法又は大きい厚さのうちの少なくとも一方を有するフィーチャを有する。
1又は複数の第89例において、トランジスタ構造を製造する方法が、裏側キャリア層上に配置される第1のデバイス層を有するドナー基板を受容する段階を備え、第1のデバイス層は第1の半導体材料を有する。この方法は、第1のデバイス層のフィーチャの側壁に隣接するフィールド分離誘電体によって、第1のデバイス層に1又は複数の第1のデバイス層のフィーチャを形成する段階を備える。この方法は、第1のデバイス層のフィーチャに結合される第1の表側デバイス端子を形成する段階を備える。この方法は、ホスト基板を、キャリア層に対向するドナー基板の面と接合する段階を備える。この方法は、キャリア層の少なくとも一部を除去することにより、第1のデバイス層のフィーチャの裏側を暴露する段階を備える。この方法は、第1のデバイス層のフィーチャの裏側に第2のデバイス層を堆積する段階を備え、第2のデバイス層は、第1とは異なる組成を有する第2の半導体材料を有する。この方法は、第2のデバイス層に結合される裏側デバイス端子を形成する段階を備える。
1又は複数の第89例において、第88例のいずれかについて、この方法は、半導体を有する第1のソース又はドレインを第1のデバイス層のフィーチャに堆積する段階と、第1のソース又はドレインに結合される第1のコンタクト金属を形成する段階とをさらに備える。第2のデバイス層を堆積する段階は、半導体を有する第2のソース又はドレインを堆積する段階をさらに有する。裏側デバイス端子を形成する段階は、第1のソース又はドレインに結合される第2のコンタクト金属を形成する段階をさらに有する。
1又は複数の第90例において、第89例のいずれかについて、第1のデバイス層のフィーチャを形成する段階は、第1のトランジスタチャネルを形成する段階をさらに有する。第1の表側デバイス端子を形成する段階は、第1のゲート電極を形成する段階をさらに有する。裏側デバイス層を堆積する段階は、第2のトランジスタチャネル半導体を堆積する段階をさらに有する。裏側デバイス端子を形成する段階は、第2のトランジスタチャネル上に第2のゲート電極を形成する段階をさらに有する。
1又は複数の第91例において、第90例のいずれかについて、この方法は、第1のデバイス層に接触するソース又はドレインを形成する段階と、第2のデバイス層に接触するソース又はドレインを形成する段階とをさらに備える。
1又は複数の第92例において、集積回路IC階層を製造する方法が、第1の半導体材料を有するデバイス層を有するドナー基板を受容する段階を備える。この方法は、デバイス層内にトランジスタを製造する段階を備える。この方法は、トランジスタの第1面上に、少なくとも第1の金属を有する表側インターコネクトメタライゼーション層を形成する段階を備え、表側インターコネクトメタライゼーション層は、複数のトランジスタのうちの1又は複数の第1のソース、ドレイン又はゲート電極に結合される。この方法は、トランジスタの第2面上に、少なくとも第2の金属を有する裏側インターコネクトメタライゼーション層を形成する段階を備え、裏側インターコネクトメタライゼーション層は、複数のトランジスタのうちの1又は複数の第2のソース、ドレイン又はゲート電極に結合される。
1又は複数の第92例において、第91例のいずれかについて、ホスト基板は裏側キャリア層を含み、この方法は、ホスト基板をドナー基板と接合する段階であって、ホスト基板は、キャリア層に対向する面でドナー基板と接合する、接合する段階と、キャリア層の少なくとも一部を除去することにより、複数のトランジスタのうちの1又は複数の裏側を暴露する段階とをさらに備える。
1又は複数の第93例において、第91例のいずれかについて、表側インターコネクトメタライゼーション層は、裏側インターコネクトメタライゼーション層よりも高い割合のCuを含む、又は、裏側インターコネクトメタライゼーション層は、表側インターコネクトメタライゼーション層よりも高い割合のCuを含む。
1又は複数の第94例において、第93例のいずれかについて、第1の金属は、Ru、Rh、Pd、Ir、Pt、Au、W、Cr又はCoのうちの1又は複数を含み、第2の金属はCuを含む。
1又は複数の第95例において、第94例のいずれかについて、裏側インターコネクト層はソースに結合され、表側インターコネクト層はゲート電極に結合され、裏側インターコネクトメタライゼーション層は、表側インターコネクトメタライゼーション層よりも大きい横寸法又は大きい厚さのうちの少なくとも一方を有するフィーチャを有する。
本開示の原理は、このように説明される例に限定されないが、添付の特許請求の範囲から逸脱することなく、修正及び変更して実施され得ることが理解されるだろう。例えば、上記の例は、以下にさらに提供されるように、複数の特徴の特定の組み合わせを含んでよい。

Claims (19)

  1. デバイス構造であって、
    単結晶半導体材料を有し、分離誘電体に隣接する本体と、
    前記本体の側壁に隣接するゲートスタックであって、ゲート誘電体により前記側壁から分離されたゲート電極を含む、ゲートスタックと、
    前記ゲートスタックの両側で前記本体に結合されるソース及びドレインと、
    前記ソース、ドレイン又はゲート電極のうちの少なくとも1つに結合される表側インターコネクトメタライゼーション層と、
    前記表側インターコネクトメタライゼーション層に対向する、前記本体の裏側表面上の裏側デバイス層であって、前記本体の組成とは異なる組成を有する第2の半導体材料を有する、裏側デバイス層と、
    前記裏側デバイス層に電気的に結合される裏側デバイス端子と
    を備え
    前記デバイス構造は、前記裏側デバイス端子に結合される裏側インターコネクトメタライゼーション層をさらに備え、前記本体及び前記裏側デバイス層は、前記表側インターコネクトメタライゼーション層と前記裏側インターコネクトメタライゼーション層との間に配置される構造。
  2. 前記構造は、
    第2の電界効果トランジスタ(FET)上に積層される第1のFETを備え、
    前記第2の半導体材料は単結晶であり、
    第2のゲートスタックは、前記第2の半導体材料に結合され、
    前記裏側デバイス端子は、前記第2の半導体材料に結合される、前記第2のFETのソース又はドレインをさらに有する、請求項1に記載の構造。
  3. 前記単結晶半導体材料は、第1のIV族又はIII-V族半導体を有し、
    前記第2の半導体材料は、第2のIV族又はIII-V族半導体を有する、請求項2に記載の構造。
  4. 前記裏側デバイス端子は、前記第2のFETの前記ソース又はドレインのうちの一方と接触する、請求項2又は3に記載の構造。
  5. 前記構造は、薄膜トランジスタ(TFT)上に積層された電界効果トランジスタ(FET)を備え、
    前記第2の半導体材料は、多結晶又は非結晶であり、
    第2のゲートスタックは、前記第2の半導体材料に結合され、
    前記裏側デバイス端子は、前記第2の半導体材料に結合される前記TFTのソース又はドレインをさらに有する、請求項1に記載の構造。
  6. 前記裏側デバイス端子は、前記FETの前記ソース又はドレインのうちの一方と接触している、請求項に記載の構造。
  7. 集積回路(IC)構造であって、
    フィールド分離誘電体に隣接するトランジスタ本体であって、単結晶半導体材料を有する、トランジスタ本体と、
    前記トランジスタ本体の側壁に隣接するゲートスタックであって、ゲート誘電体により前記側壁から分離されたゲート電極を含む、ゲートスタックと、
    前記ゲートスタックの両側で前記トランジスタ本体に結合されるソース及びドレインと、
    前記トランジスタ本体の第1面上及び前記フィールド分離誘電体上の表側インターコネクトメタライゼーション層であって、前記ソース、ドレイン又はゲート電極のうちの第1のものに結合される、表側インターコネクトメタライゼーション層と、
    前記トランジスタ本体の第2面上及び前記フィールド分離誘電体上の裏側インターコネクトメタライゼーション層であって、前記ソース、ドレイン又はゲート電極のうちの第2のものに結合され、前記表側インターコネクトメタライゼーション層とは異なる組成を有する、裏側インターコネクトメタライゼーション層と
    を備えるIC構造。
  8. 前記表側インターコネクトメタライゼーション層は、前記裏側インターコネクトメタライゼーション層のいずれの金属合金よりも多くのCuを有する合金を含む、又は、前記裏側インターコネクトメタライゼーション層は、前記表側インターコネクトメタライゼーション層のいずれの金属合金よりも多くのCuを有する合金を含む、請求項に記載のIC構造。
  9. 前記表側インターコネクトメタライゼーション層は、Ru、Rh、Pd、Ir、Pt、Au、W、Cr又はCoのうちの1又は複数を含み、前記裏側インターコネクトメタライゼーション層はCuを含む、請求項7又は8に記載のIC構造。
  10. 前記裏側インターコネクトメタライゼーション層は、前記ソースに結合され、前記表側インターコネクトメタライゼーション層は、前記ゲート電極に結合され、前記裏側インターコネクトメタライゼーション層は、前記表側インターコネクトメタライゼーション層よりも大きい横寸法又は大きい厚さのうちの少なくとも一方を有するフィーチャを有する、請求項7から9のいずれか一項に記載のIC構造。
  11. トランジスタ構造を製造する方法であって、
    裏側キャリア層上に配置される第1のデバイス層を有するドナー基板を受容する段階であって、前記第1のデバイス層は、第1の半導体材料を有する、段階と、
    前記第1のデバイス層内に1又は複数の第1のデバイス層のフィーチャを形成する段階であって、前記第1のデバイス層のフィーチャの側壁にフィールド分離誘電体が隣接する、段階と、
    第1のデバイス層のフィーチャに結合される第1の表側デバイス端子を形成する段階と、
    前記裏側キャリア層とは反対側の前記ドナー基板の面とホスト基板とを接合する段階と、
    前記裏側キャリア層の少なくとも一部を除去することにより、前記第1のデバイス層のフィーチャの裏側を暴露する段階と、
    前記第1のデバイス層のフィーチャの裏側に第2のデバイス層を堆積する段階であって、前記第2のデバイス層は、前記第1のデバイス層とは異なる組成を有する第2の半導体材料を有する、段階と、
    前記第2のデバイス層に結合される裏側デバイス端子を形成する段階と
    を備える方法。
  12. 前記方法は、
    前記第1のデバイス層のフィーチャに、半導体を有する第1のソース又はドレインを堆積する段階と、
    前記第1のソース又はドレインに結合される第1のコンタクト金属を形成する段階と
    をさらに備え、
    前記第2のデバイス層を堆積する段階は、半導体を有する第2のソース又はドレインを堆積する段階をさらに有し、
    前記裏側デバイス端子を形成する段階は、
    前記第1のソース又はドレインに結合される第2のコンタクト金属を形成する段階をさらに有する、請求項11に記載の方法。
  13. 前記第1のデバイス層のフィーチャを形成する段階は、第1のトランジスタチャネルを形成する段階をさらに有し、
    前記第1の表側デバイス端子を形成する段階は、第1のゲート電極を形成する段階をさらに有し、
    前記第2のデバイス層を堆積する段階は、第2のトランジスタチャネル半導体を堆積する段階をさらに有し、
    前記裏側デバイス端子を形成する段階は、前記第2のトランジスタチャネル上に第2のゲート電極を形成する段階をさらに有する、請求項12に記載の方法。
  14. 前記第1のデバイス層に接触するソース又はドレインを形成する段階と、
    前記第2のデバイス層に接触するソース又はドレインを形成する段階と
    をさらに備える、請求項13に記載の方法。
  15. 集積回路IC階層を製造する方法であって、
    第1の半導体材料を含むデバイス層を有するドナー基板を受容する段階と、
    前記デバイス層内にトランジスタを製造する段階と、
    前記トランジスタの第1面上に、少なくとも第1の金属を有する表側インターコネクトメタライゼーション層を形成する段階であって、前記表側インターコネクトメタライゼーション層は、前記トランジスタのうちの1又は複数についてのソース、ドレイン又はゲート電極のうちの第1のものに結合される、段階と、
    前記トランジスタの第2面上に、少なくとも第2の金属を有する裏側インターコネクトメタライゼーション層を形成する段階であって、前記裏側インターコネクトメタライゼーション層は、前記トランジスタのうちの1又は複数についての前記ソース、ドレイン又はゲート電極のうちの第2のものに結合される、段階と
    を備える方法。
  16. ドナー基板は、裏側キャリア層を含み、前記方法は、
    スト基板を前記ドナー基板と接合する段階であって、前記ドナー基板は、前記裏側キャリア層の反対側の面上で前記ホスト基板と接合する、段階と、
    前記裏側キャリア層の少なくとも一部を除去することにより、前記トランジスタのうちの1又は複数の裏側を暴露する段階と
    をさらに備える、請求項15に記載の方法。
  17. 前記表側インターコネクトメタライゼーション層は、前記裏側インターコネクトメタライゼーション層のいずれの金属合金よりも多くのCuを有する合金を含む、又は、
    前記裏側インターコネクトメタライゼーション層は、前記表側インターコネクトメタライゼーション層のいずれの金属合金よりも多くのCuを有する合金を含む、請求項15又は16に記載の方法。
  18. 前記第1の金属は、Ru、Rh、Pd、Ir、Pt、Au、W、Cr又はCoのうちの1又は複数を含み、前記第2の金属は、Cuを含む、請求項15から17のいずれか一項に記載の方法。
  19. 前記裏側インターコネクトメタライゼーション層は、前記ソースに結合され、前記表側インターコネクトメタライゼーション層は、前記ゲート電極に結合され、前記裏側インターコネクトメタライゼーション層は、前記表側インターコネクトメタライゼーション層よりも大きい横寸法又は大きい厚さのうちの少なくとも一方を有するフィーチャを有する、請求項15から18のいずれか一項に記載の方法。
JP2019502593A 2016-08-26 2017-08-25 集積回路のデバイス構造及び両面製造技術 Active JP7048182B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022045979A JP2022115851A (ja) 2016-08-26 2022-03-22 集積回路のデバイス構造及び両面製造技術

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662380316P 2016-08-26 2016-08-26
US62/380,316 2016-08-26
USPCT/US2016/068564 2016-12-23
PCT/US2016/068564 WO2018106267A1 (en) 2016-12-07 2016-12-23 Integrated circuit device with back-side interconnection to deep source / drain semiconductor
USPCT/US2017/048475 2017-08-24
PCT/US2017/048475 WO2019040071A1 (en) 2017-08-24 2017-08-24 FORMATION OF SHARED GRID PATTERNS AND VERTICAL STACK FINFET TRANSISTORS
PCT/US2017/048752 WO2018039645A1 (en) 2016-08-26 2017-08-25 Integrated circuit device structures and double-sided fabrication techniques

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022045979A Division JP2022115851A (ja) 2016-08-26 2022-03-22 集積回路のデバイス構造及び両面製造技術

Publications (2)

Publication Number Publication Date
JP2019526926A JP2019526926A (ja) 2019-09-19
JP7048182B2 true JP7048182B2 (ja) 2022-04-05

Family

ID=66053537

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019502593A Active JP7048182B2 (ja) 2016-08-26 2017-08-25 集積回路のデバイス構造及び両面製造技術
JP2022045979A Pending JP2022115851A (ja) 2016-08-26 2022-03-22 集積回路のデバイス構造及び両面製造技術

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022045979A Pending JP2022115851A (ja) 2016-08-26 2022-03-22 集積回路のデバイス構造及び両面製造技術

Country Status (6)

Country Link
US (2) US10872820B2 (ja)
EP (1) EP3504738A4 (ja)
JP (2) JP7048182B2 (ja)
KR (1) KR102548835B1 (ja)
CN (1) CN109643742A (ja)
BR (1) BR112019001313A2 (ja)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8106459B2 (en) * 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
CN108701692B (zh) * 2016-04-01 2024-04-02 英特尔公司 采用背侧半导体或金属的半导体二极管
CN110710057A (zh) * 2017-06-06 2020-01-17 株式会社村田制作所 天线
DE112017008080T5 (de) 2017-12-26 2020-07-09 Intel Corporation Gestapelte transistoren mit zuletzt ausgebildetem kontakt
US10790333B2 (en) * 2017-12-29 2020-09-29 Spin Memory, Inc. Flexible substrate for use with a perpendicular magnetic tunnel junction (PMTJ)
US11430814B2 (en) 2018-03-05 2022-08-30 Intel Corporation Metallization structures for stacked device connectivity and their methods of fabrication
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
KR20210104742A (ko) * 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US20200243386A1 (en) * 2019-01-25 2020-07-30 United Microelectronics Corp. Method for fabricating semiconductor device
US11063045B2 (en) * 2019-04-15 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11257903B2 (en) * 2019-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure with hybrid nanostructures
US11538762B2 (en) * 2020-01-24 2022-12-27 Micron Technology, Inc. Methods for making double-sided semiconductor devices and related devices, assemblies, packages and systems
TWI783369B (zh) * 2020-02-07 2022-11-11 美商森恩萊斯記憶體公司 準揮發性系統級記憶體
DE102020112203A1 (de) * 2020-03-13 2021-09-16 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum einbetten planarer fets mit finfets
DE102020129842A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-vorrichtungen mit rückseitiger stromschiene und rückseitiger selbstjustierender durchkontaktierung
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
US11410930B2 (en) * 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR102432494B1 (ko) * 2020-04-28 2022-08-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자에서의 자체 정렬 에칭
US11239325B2 (en) * 2020-04-28 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having backside via and method of fabricating thereof
US11349004B2 (en) * 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
DE102021101178A1 (de) 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte-schaltkreis-struktur mit rückseitiger dielektrischer schicht mit luftspalt
US11450559B2 (en) * 2020-04-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside dielectric layer having air gap
DE102021100118B4 (de) * 2020-04-30 2023-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter schaltkreis und verfahren zu dessen herstellung
US11289606B2 (en) 2020-05-11 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitance reduction for back-side power rail device
KR102436689B1 (ko) * 2020-05-11 2022-08-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 후면 측 전력 레일 디바이스를 위한 캐패시턴스 감소
US11239208B2 (en) * 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
DE102020133339A1 (de) * 2020-05-20 2022-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cmos-stapelstruktur
US20210366906A1 (en) * 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking cmos structure
US11443987B2 (en) * 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11227926B2 (en) * 2020-06-01 2022-01-18 Nanya Technology Corporation Semiconductor device and method for fabricating the same
US11289455B2 (en) * 2020-06-11 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contact to improve thermal dissipation away from semiconductor devices
US11296070B2 (en) 2020-06-12 2022-04-05 Taiwan Semiconductor Manufacturing Company Limited Integrated circuit with backside power rail and backside interconnect
US11637099B2 (en) * 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11626494B2 (en) * 2020-06-17 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial backside contact
US11805657B2 (en) * 2020-06-23 2023-10-31 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric tunnel junction memory device using a magnesium oxide tunneling dielectric and methods for forming the same
US11804531B2 (en) * 2020-07-23 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film transfer using substrate with etch stop layer and diffusion barrier layer
US11557510B2 (en) * 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
US20220037496A1 (en) * 2020-07-31 2022-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate device with air gap spacer and backside rail contact and method of fabricating thereof
US11456209B2 (en) 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
JP7387567B2 (ja) * 2020-09-24 2023-11-28 株式会社東芝 半導体装置
CN112382719B (zh) * 2020-10-10 2023-10-10 清华大学 提升铁电隧穿结性能的器件结构及其制备方法
US11404548B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction for backside power rail device
US11626369B2 (en) * 2020-10-14 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system and method of forming same
US11532744B2 (en) 2020-10-26 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate cut structure and method of forming the same
US20220199615A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Substrate-less vertical diode integrated circuit structures
US20220238442A1 (en) * 2021-01-27 2022-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US11658226B2 (en) 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside gate contact
US11854973B2 (en) * 2021-05-07 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with reduced resistance and method for manufacturing the same
US11881451B2 (en) * 2021-06-15 2024-01-23 Nanya Technology Corporation Semiconductor device with interconnect part and method for preparing the same
US11849578B2 (en) 2021-07-29 2023-12-19 Sandisk Technologies Llc Three-dimensional memory device with a columnar memory opening arrangement and method of making thereof
US20230067354A1 (en) * 2021-08-27 2023-03-02 Intel Corporation Gate tie structures to buried or backside power rails
US20230102901A1 (en) * 2021-09-29 2023-03-30 Advanced Micro Devices, Inc. Cross field effect transistor (xfet) architecture process
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing
US20230145229A1 (en) * 2021-11-09 2023-05-11 Intel Corporation Layer transfer process to form backside contacts in semiconductor devices
US20230189495A1 (en) * 2021-12-09 2023-06-15 Intel Corporation Backside shunt contact for improved integrated circuit layout
US20230187273A1 (en) * 2021-12-15 2023-06-15 Intel Corporation Etch stop layer for backside processing architecture
US20230197732A1 (en) * 2021-12-22 2023-06-22 Intel Corporation Integrated group iii-nitrogen and silicon transistors on the same die
US20230309320A1 (en) * 2022-03-23 2023-09-28 International Business Machines Corporation Embedded magnetoresistive random access memory
CN114782413B (zh) * 2022-06-07 2023-02-10 生态环境部长江流域生态环境监督管理局生态环境监测与科学研究中心 一种基于显微镜图像的星杆藻类细胞统计方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009295961A (ja) 2008-05-08 2009-12-17 Denso Corp 半導体装置およびその製造方法
CN104037125A (zh) 2013-03-04 2014-09-10 三星电子株式会社 半导体器件及其制造方法
US20150129891A1 (en) 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Company Limited Multi-layer semiconductor device structures with different channel materials

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627953B1 (en) * 1990-12-31 2003-09-30 Kopin Corporation High density electronic circuit modules
US5376561A (en) * 1990-12-31 1994-12-27 Kopin Corporation High density electronic circuit modules
JPH05198739A (ja) * 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
JPH09260669A (ja) 1996-03-19 1997-10-03 Nec Corp 半導体装置とその製造方法
US6271542B1 (en) 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US6499121B1 (en) 1999-03-01 2002-12-24 Formfactor, Inc. Distributed interface for parallel testing of multiple devices using a single tester channel
US6455398B1 (en) 1999-07-16 2002-09-24 Massachusetts Institute Of Technology Silicon on III-V semiconductor bonding for monolithic optoelectronic integration
JP2003533889A (ja) 2000-05-13 2003-11-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ トレンチゲート半導体装置
JP2002110990A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置およびその製造方法
US6605951B1 (en) * 2000-12-11 2003-08-12 Lsi Logic Corporation Interconnector and method of connecting probes to a die for functional analysis
TWI261892B (en) 2001-11-05 2006-09-11 Zycube Co Ltd Semiconductor device using low-k material and method of fabricating the same
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US6924552B2 (en) 2002-10-21 2005-08-02 Hrl Laboratories, Llc Multilayered integrated circuit with extraneous conductive traces
US8301436B2 (en) 2003-05-29 2012-10-30 Microsoft Corporation Semantic object synchronous understanding for highly interactive interface
KR101057569B1 (ko) 2009-03-24 2011-08-17 이상윤 3차원 반도체 장치의 제조 방법
KR100615085B1 (ko) 2004-01-12 2006-08-22 삼성전자주식회사 노드 콘택 구조체들, 이를 채택하는 반도체소자들, 이를채택하는 에스램 셀들 및 이를 제조하는 방법들
US7115947B2 (en) 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
US7315466B2 (en) * 2004-08-04 2008-01-01 Samsung Electronics Co., Ltd. Semiconductor memory device and method for arranging and manufacturing the same
EP1638142A3 (en) * 2004-09-20 2006-09-13 Samsung Electronics Co.,Ltd. SRAM cell with stacked thin-film transistors
US7245134B2 (en) 2005-01-31 2007-07-17 Formfactor, Inc. Probe card assembly including a programmable device to selectively route signals from channels of a test system controller to probes
KR100702011B1 (ko) 2005-03-16 2007-03-30 삼성전자주식회사 다중 게이트 트랜지스터들을 채택하는 씨모스 에스램 셀들및 그 제조방법들
JP2006294719A (ja) 2005-04-07 2006-10-26 Oki Electric Ind Co Ltd 半導体装置
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7709303B2 (en) 2006-01-10 2010-05-04 Freescale Semiconductor, Inc. Process for forming an electronic device including a fin-type structure
JP4839904B2 (ja) 2006-03-16 2011-12-21 セイコーエプソン株式会社 半導体装置、集積回路、及び電子機器
US7402866B2 (en) 2006-06-27 2008-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contacts for MOS devices
US7544994B2 (en) 2006-11-06 2009-06-09 International Business Machines Corporation Semiconductor structure with multiple fins having different channel region heights and method of forming the semiconductor structure
US20080128797A1 (en) 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US7485508B2 (en) 2007-01-26 2009-02-03 International Business Machines Corporation Two-sided semiconductor-on-insulator structures and methods of manufacturing the same
US7619901B2 (en) 2007-06-25 2009-11-17 Epic Technologies, Inc. Integrated structures and fabrication methods thereof implementing a cell phone or other electronic system
US7939941B2 (en) * 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
KR101275758B1 (ko) * 2007-07-20 2013-06-14 삼성전자주식회사 복수개의 적층된 트랜지스터들을 구비하는 반도체 소자 및그 제조방법
JP2009164589A (ja) * 2007-12-12 2009-07-23 Elpida Memory Inc 半導体装置及びその製造方法
JP2009164158A (ja) 2007-12-28 2009-07-23 Panasonic Corp 半導体装置及びその製造方法
US9379059B2 (en) * 2008-03-21 2016-06-28 Mediatek Inc. Power and ground routing of integrated circuit devices with improved IR drop and chip performance
KR101529052B1 (ko) 2009-01-30 2015-06-16 삼성전자주식회사 풀 씨모스 에스 램
US8299583B2 (en) * 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8084795B2 (en) * 2009-05-22 2011-12-27 James Nan Hsi Pan Resonant cavity complementary optoelectronic transistors
US8921168B2 (en) * 2009-07-15 2014-12-30 Silanna Semiconductor U.S.A., Inc. Thin integrated circuit chip-on-board assembly and method of making
KR101818556B1 (ko) 2009-07-15 2018-01-15 퀄컴 인코포레이티드 이면측 바디 연결을 가진 반도체-온-절연체
US9299641B2 (en) 2012-08-10 2016-03-29 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
WO2011111754A1 (ja) 2010-03-09 2011-09-15 大学共同利用機関法人 高エネルギー加速器研究機構 半導体装置及び半導体装置の製造方法
US8716091B2 (en) 2010-03-30 2014-05-06 International Business Machines Corporation Structure for self-aligned silicide contacts to an upside-down FET by epitaxial source and drain
US8354675B2 (en) * 2010-05-07 2013-01-15 International Business Machines Corporation Enhanced capacitance deep trench capacitor for EDRAM
TWI609489B (zh) 2010-10-12 2017-12-21 高通公司 具有薄基體之垂直半導體元件
JP2012195539A (ja) 2011-03-18 2012-10-11 Toshiba Corp 半導体装置の製造方法および補強板
US8460984B2 (en) 2011-06-09 2013-06-11 GlobalFoundries, Inc. FIN-FET device and method and integrated circuits using such
WO2013004836A1 (en) * 2011-07-06 2013-01-10 Imec Test access architecture for interposer-based 3d die stacks
US8595661B2 (en) 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
JP5876249B2 (ja) 2011-08-10 2016-03-02 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8674449B2 (en) 2011-09-08 2014-03-18 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
JP5678866B2 (ja) 2011-10-31 2015-03-04 株式会社デンソー 半導体装置およびその製造方法
US8987824B2 (en) 2011-11-22 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor devices
JP5562320B2 (ja) 2011-12-08 2014-07-30 三菱電機株式会社 半導体試験装置および半導体試験方法
US20130297981A1 (en) * 2012-05-01 2013-11-07 Qualcomm Incorporated Low cost high throughput tsv/microbump probe
US9425212B2 (en) 2012-06-29 2016-08-23 Intel Corporation Isolated and bulk semiconductor devices formed on a same bulk substrate
ITTO20120742A1 (it) 2012-08-24 2014-02-25 St Microelectronics Srl Dispositivo a semiconduttore con modalita' operative lineare e a commutazione migliorate, metodo di fabbricazione del dispositivo a semiconduttore, e metodo di polarizzazione del dispositivo a semiconduttore
US9735243B2 (en) 2013-11-18 2017-08-15 Infineon Technologies Ag Semiconductor device, integrated circuit and method of forming a semiconductor device
US8815684B2 (en) 2012-12-07 2014-08-26 International Business Machines Corporation Bulk finFET with super steep retrograde well
KR101968351B1 (ko) 2013-01-28 2019-08-13 서울대학교산학협력단 반도체 장치 및 그 제조 방법
KR102011874B1 (ko) * 2013-03-05 2019-08-19 삼성전자주식회사 박막 트랜지스터를 포함하는 표시 장치
US9640531B1 (en) * 2014-01-28 2017-05-02 Monolithic 3D Inc. Semiconductor device, structure and methods
US20140264632A1 (en) 2013-03-18 2014-09-18 Globalfoundries Inc. Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof
US9058886B2 (en) 2013-03-22 2015-06-16 Kabushiki Kaisha Toshiba Power supply circuit and protection circuit
JP2016514905A (ja) 2013-03-29 2016-05-23 インテル・コーポレーション 延在された凹部スペーサおよび複数のソース/ドレイン領域を有するトランジスタアーキテクチャおよびその製造方法
JP2014220376A (ja) 2013-05-08 2014-11-20 ソニー株式会社 半導体装置およびその製造方法
US9685436B2 (en) 2013-06-25 2017-06-20 Intel Corporation Monolithic three-dimensional (3D) ICs with local inter-level interconnects
US9059123B2 (en) 2013-07-24 2015-06-16 International Business Machines Corporation Active matrix using hybrid integrated circuit and bipolar transistor
US9929133B2 (en) 2013-08-27 2018-03-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor logic circuits fabricated using multi-layer structures
JP2015050339A (ja) 2013-09-02 2015-03-16 ソニー株式会社 半導体装置およびその製造方法
US9214398B2 (en) 2013-09-09 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contacts for integrated circuit devices
JP5737536B2 (ja) * 2013-11-21 2015-06-17 株式会社東京精密 プローバ
US9590051B2 (en) 2013-12-18 2017-03-07 Intel Corporation Heterogeneous layer device
CN104810396B (zh) 2014-01-23 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9293437B2 (en) 2014-02-20 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3DIC and method of making same
US9455350B2 (en) * 2014-03-25 2016-09-27 National Applied Research Laboratories Transistor device structure that includes polycrystalline semiconductor thin film that has large grain size
US9337316B2 (en) 2014-05-05 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for FinFET device
US9767243B2 (en) 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
US9385201B2 (en) 2014-06-06 2016-07-05 Stmicroelectronics, Inc. Buried source-drain contact for integrated circuit transistor devices and method of making same
US9431296B2 (en) 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
US20160095221A1 (en) 2014-09-27 2016-03-31 Qualcomm Incorporated Integration of electronic elements on the backside of a semiconductor die
US9401367B2 (en) 2014-09-30 2016-07-26 Wafertech, Llc Nonvolatile memory cell with improved isolation structures
US9305834B1 (en) 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
US9385195B1 (en) 2015-03-31 2016-07-05 Stmicroelectronics, Inc. Vertical gate-all-around TFET
DE102015105679B4 (de) 2015-04-14 2017-11-30 Infineon Technologies Ag Halbleitervorrichtung, integrierte schaltung und verfahren zum herstellen der halbleitervorrichtung
JP6479579B2 (ja) * 2015-05-29 2019-03-06 東芝メモリ株式会社 半導体装置
US20190057959A1 (en) * 2015-06-06 2019-02-21 Monolithic 3D Inc. Semiconductor device and structure with thermal isolation
US9349868B1 (en) 2015-06-26 2016-05-24 International Business Machines Corporation Gate all-around FinFET device and a method of manufacturing same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
DE112015006959T5 (de) 2015-09-24 2018-06-07 Intel Corporation Verfahren zum bilden rückseitiger selbstausgerichteter durchkontaktierungen und dadurch gebildete strukturen
WO2017052626A1 (en) 2015-09-25 2017-03-30 Intel Corporation Power gate with metal on both sides
WO2017052604A1 (en) 2015-09-25 2017-03-30 Intel Corporation Backside fin recess control with multi-hsi option
CN107924947B (zh) 2015-09-25 2022-04-29 英特尔公司 用于器件两侧的金属的背面触点结构和制造
WO2017095409A1 (en) 2015-12-03 2017-06-08 Intel Corporation Stacked channel structures for mosfets
CN108701692B (zh) 2016-04-01 2024-04-02 英特尔公司 采用背侧半导体或金属的半导体二极管
CN105633101A (zh) * 2016-04-01 2016-06-01 京东方科技集团股份有限公司 Tft阵列基板及其制造方法、显示装置
US9780210B1 (en) 2016-08-11 2017-10-03 Qualcomm Incorporated Backside semiconductor growth
US10420171B2 (en) * 2016-08-26 2019-09-17 Qualcomm Incorporated Semiconductor devices on two sides of an isolation layer
WO2018106233A1 (en) 2016-12-07 2018-06-14 Intel Corporation Integrated circuit device with crenellated metal trace layout
US10892337B2 (en) 2016-09-30 2021-01-12 Intel Corporation Backside source/drain replacement for semiconductor devices with metallization on both sides
KR20200134362A (ko) 2019-05-21 2020-12-02 삼성전자주식회사 반도체 소자
US11239325B2 (en) 2020-04-28 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having backside via and method of fabricating thereof
US11222892B2 (en) 2020-06-15 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail and methods of forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009295961A (ja) 2008-05-08 2009-12-17 Denso Corp 半導体装置およびその製造方法
CN104037125A (zh) 2013-03-04 2014-09-10 三星电子株式会社 半导体器件及其制造方法
JP2014170940A (ja) 2013-03-04 2014-09-18 Samsung Electronics Co Ltd 半導体素子及びその製造方法
US20150129891A1 (en) 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Company Limited Multi-layer semiconductor device structures with different channel materials

Also Published As

Publication number Publication date
JP2019526926A (ja) 2019-09-19
JP2022115851A (ja) 2022-08-09
EP3504738A4 (en) 2020-09-02
CN109643742A (zh) 2019-04-16
US10872820B2 (en) 2020-12-22
KR20190036521A (ko) 2019-04-04
US20210175124A1 (en) 2021-06-10
US11854894B2 (en) 2023-12-26
EP3504738A1 (en) 2019-07-03
US20200035560A1 (en) 2020-01-30
BR112019001313A2 (pt) 2019-04-30
KR102548835B1 (ko) 2023-06-30

Similar Documents

Publication Publication Date Title
JP7048182B2 (ja) 集積回路のデバイス構造及び両面製造技術
TWI775778B (zh) 積體電路裝置結構和雙面製造技術
US11799037B2 (en) Gate-all-around integrated circuit structures having asymmetric source and drain contact structures
WO2018039645A1 (en) Integrated circuit device structures and double-sided fabrication techniques
US11824107B2 (en) Wrap-around contact structures for semiconductor nanowires and nanoribbons
US11688637B2 (en) Wrap-around contact structures for semiconductor fins
US20230207700A1 (en) Integrated circuit structures having partitioned source or drain contact structures
US11329162B2 (en) Integrated circuit structures having differentiated neighboring partitioned source or drain contact structures
US20220392896A1 (en) Integrated circuit structures with backside gate cut or trench contact cut
US20230290844A1 (en) Integrated circuit structures with backside self-aligned penetrating conductive source or drain contact
US20230290825A1 (en) Integrated circuit structures with backside self-aligned conductive source or drain contact
US20230299157A1 (en) Integrated circuit structures with deep via structure
US20230187441A1 (en) Integrated circuit structures with trench contact flyover structure
KR20230098710A (ko) 집적 회로 디바이스 구조체들 및 양면 제조 기술들
US20230317788A1 (en) Integrated circuit structures with full-wrap contact structure
US20220416022A1 (en) Substrate-less nanowire-based lateral diode integrated circuit structures
US20240105771A1 (en) Integrated circuit structures with channel cap reduction
US20230317595A1 (en) Integrated circuit structures with pre-epitaxial deep via structure
US20220406773A1 (en) Integrated circuit structures with backside self-aligned conductive pass-through contact
US20230307514A1 (en) Gate-all-around integrated circuit structures having backside contact with enhanced area relative to epitaxial source
US20240105801A1 (en) Integrated circuit structures with gate volume reduction
US20220399334A1 (en) Integrated circuit structures with backside self-aligned conductive via bar

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220322

R150 Certificate of patent or registration of utility model

Ref document number: 7048182

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150