JP5870433B2 - XOR and XNOR logic circuit and layout - Google Patents

XOR and XNOR logic circuit and layout Download PDF

Info

Publication number
JP5870433B2
JP5870433B2 JP2014166479A JP2014166479A JP5870433B2 JP 5870433 B2 JP5870433 B2 JP 5870433B2 JP 2014166479 A JP2014166479 A JP 2014166479A JP 2014166479 A JP2014166479 A JP 2014166479A JP 5870433 B2 JP5870433 B2 JP 5870433B2
Authority
JP
Japan
Prior art keywords
exclusive
logic circuit
circuit layout
gate electrode
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2014166479A
Other languages
Japanese (ja)
Other versions
JP2014222951A (en
Inventor
スコット ティー ベッカー
スコット ティー ベッカー
Original Assignee
テラ イノヴェイションズ インコーポレイテッド
テラ イノヴェイションズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テラ イノヴェイションズ インコーポレイテッド, テラ イノヴェイションズ インコーポレイテッド filed Critical テラ イノヴェイションズ インコーポレイテッド
Publication of JP2014222951A publication Critical patent/JP2014222951A/en
Application granted granted Critical
Publication of JP5870433B2 publication Critical patent/JP5870433B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • H03K19/21EXCLUSIVE-OR circuits, i.e. giving output if input signal exists at only one input; COINCIDENCE circuits, i.e. giving output only if all input signals are identical
    • H03K19/215EXCLUSIVE-OR circuits, i.e. giving output if input signal exists at only one input; COINCIDENCE circuits, i.e. giving output only if all input signals are identical using field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

本発明は、XOR及びXNORロジックの回路及びレイアウトに関する。   The present invention relates to XOR and XNOR logic circuitry and layout.

高性能及び小ダイ・サイズの要求は、2年ごとに約50%ずつ、回路チップ面積を縮小させるよう半導体産業を牽引する。チップ面積の縮小は、新しい技術へ移行するための経済的利益を提供する。50%のチップ面積の縮小は、25%〜30%のフィーチャーサイズ(feature size)の縮小によって達成される。フィーチャーサイズ(加工寸法)の縮小は、製造装置及び材料の改良によって可能になる。例えば、リソグラフィックプロセス(lithographic process)の改良は、小フィーチャーサイズの達成を可能にしてきたが、一方、化学機械研磨(CMP)の改良は、相互接続層の多層化を部分的に可能にしてきた。   High performance and small die size requirements are driving the semiconductor industry to reduce circuit chip area by approximately 50% every two years. The reduction in chip area provides an economic benefit for moving to a new technology. A 50% chip area reduction is achieved by a feature size reduction of 25-30%. The feature size (process dimension) can be reduced by improving manufacturing apparatuses and materials. For example, improvements in the lithographic process have made it possible to achieve small feature sizes, whereas improvements in chemical mechanical polishing (CMP) have made it possible in part to achieve multilayering of interconnect layers. It was.

リソグラフィ(lithography)の発展において、最小フィーチャーサイズが、フィーチャー形状を露光するのに使用される光源の波長に近づくにつれ、隣り合うフィーチャー間で意図しない相互作用が起こる。今日、最小フィーチャーサイズは、45nm(ナノメートル)未満まで縮小されているが、一方、リソグラフィプロセスで使用される光源の波長は、193nmに留まっている。最小フィーチャーサイズとリソグラフィプロセスで使用される光源の波長との間の差は、リソグラフィックギャップとして定義される。リソグラフィックギャップが大きくなるにつれ、リソグラフィプロセスの分解能が減少する。   In lithographic development, unintended interactions occur between adjacent features as the minimum feature size approaches the wavelength of the light source used to expose the feature shape. Today, the minimum feature size has been reduced to less than 45 nm (nanometers), while the wavelength of the light source used in the lithography process remains at 193 nm. The difference between the minimum feature size and the wavelength of the light source used in the lithographic process is defined as the lithographic gap. As the lithographic gap increases, the resolution of the lithographic process decreases.

マスク上の各形状が光と相互作用して干渉縞が発生する。隣接した形状からの干渉縞は、建設的又は破壊的な干渉を生成しうる。建設的な干渉の場合では、望ましくない形状が偶然に生成されるかもしれない。破壊的な干渉の場合では、必要な形状が偶然に除去されるかもしれない。どちらの場合も、意図したものとは違う態様で特定の形状がプリントされ、デバイスの不具合を起こすおそれがある。光学近接効果補正(OPC)のような補正方法は、要求どおりにプリント形状が作成されるように、隣接する形状からの影響を予測してマスクを修正する試みである。光相互作用の予測性の品質は、プロセス図形が縮小されるにつれ、かつ光相互作用がより複雑になるにつれ、下がっている。   Each shape on the mask interacts with light to generate interference fringes. Interference fringes from adjacent shapes can produce constructive or destructive interference. In the case of constructive interference, undesirable shapes may be produced by chance. In the case of destructive interference, the required shape may be accidentally removed. In either case, a specific shape is printed in a manner different from the intended one, which may cause a malfunction of the device. A correction method such as optical proximity correction (OPC) is an attempt to correct the mask by predicting the effects from adjacent shapes so that the printed shape is created as required. The predictive quality of light interaction decreases as process graphics are scaled down and as light interaction becomes more complex.

上記の観点から、半導体デバイスのフィーチャーサイズの縮小の方向へ技術が発展し続けるにしたがって、リソグラフィックギャップの管理を改善することができる回路設計及びレイアウトの改良のための解決策が求められている。   In view of the above, as technology continues to evolve in the direction of reducing the feature size of semiconductor devices, there is a need for solutions for circuit design and layout improvements that can improve lithographic gap management. .

一実施形態において、排他的論理和(XOR)ロジック回路が開示されている。そのXORロジック回路は、第1の入力ノード、第2の入力ノード、及び出力ノードを具備する。第2の入力ノードに存在するロジック状態によって制御されるように、パスゲートが接続されている。そのパスゲートは、第2の入力ノードに存在するロジック状態によって伝送するように制御されたとき、第1の入力ノードに存在するロジック状態の1つのバージョン(version)を出力ノードに通すように接続されている。第1の入力ノードに存在するロジック状態によって制御されるように、伝送ゲートが接続されている。その伝送ゲートは、第1の入力ノードに存在するロジック状態によって伝送するように制御されたときに、第2の入力ノードに存在するロジック状態の1つのバージョンを出力ノードに通すように接続されている。第1の入力ノードに存在するロジック状態と第2の入力ノードに存在するロジック状態の両方によって制御されるように、プルアップロジックが接続されている。そのプルアップロジックは、第1の入力ノードに存在するロジック状態と第2の入力ノードに存在するロジック状態の両方がハイ(high)のときに、出力ノードに存在する状態をロウ(low)に駆動(drive)するように接続されている。   In one embodiment, an exclusive OR (XOR) logic circuit is disclosed. The XOR logic circuit includes a first input node, a second input node, and an output node. A pass gate is connected to be controlled by the logic state present at the second input node. The passgate is connected to pass one version of the logic state present at the first input node to the output node when controlled to transmit according to the logic state present at the second input node. ing. A transmission gate is connected to be controlled by the logic state present at the first input node. The transmission gate is connected to pass one version of the logic state present at the second input node to the output node when controlled to transmit by the logic state present at the first input node. Yes. Pull-up logic is connected to be controlled by both the logic state present at the first input node and the logic state present at the second input node. The pull-up logic sets the state present at the output node to low when both the logic state present at the first input node and the logic state present at the second input node are high. Connected to drive.

一実施形態において、排他的論理和(XOR)ロジック回路のレイアウトが開示されている。そのXORロジック回路レイアウトは、6つのPMOSトランジスタと5つのNMOSトランジスタとを具備する。その5つのNMOSトランジスタは、それぞれ、6つのPMOSトランジスタのうちの5つとペアになっており、NMOS及びPMOSトランジスタの各ペアは、5つのゲート電極トラックの各1つに沿って配置された連続的なゲート電極構造を共有するように画定される。6つのPMOSトランジスタの6番目は、6番目のゲート電極トラックに沿って配置されたゲート電極構造によって画定され、その6番目のPMOSトランジスタはその6番目のゲート電極トラックを、排他的論理和ロジック回路レイアウト内の他のトランジスタと共有していない。6つのゲート電極トラックは、お互いに平行に配向している。   In one embodiment, an exclusive OR (XOR) logic circuit layout is disclosed. The XOR logic circuit layout comprises 6 PMOS transistors and 5 NMOS transistors. Each of the five NMOS transistors is paired with five of the six PMOS transistors, and each pair of NMOS and PMOS transistors is a continuous array disposed along each one of the five gate electrode tracks. Defined to share a common gate electrode structure. The sixth of the six PMOS transistors is defined by a gate electrode structure disposed along the sixth gate electrode track, the sixth PMOS transistor dedicating the sixth gate electrode track as an exclusive OR logic circuit. Not shared with other transistors in the layout. The six gate electrode tracks are oriented parallel to each other.

一実施形態において、排他的否定論理和(XNOR)ロジック回路が開示されている。
そのXNORロジック回路は、第1の入力ノード、第2の入力ノード、及び出力ノードを具備する。第2の入力ノードに存在するロジック状態によって制御されるように、パスゲートが接続されている。そのパスゲートは、第2の入力ノードに存在するロジック状態によって伝送するように制御されたとき、第1の入力ノードに存在するロジック状態の1つのバージョン(version)を出力ノードに通すように接続されている。第1の入力ノードに存在するロジック状態によって制御されるように、伝送ゲートが接続されている。その伝送ゲートは、第1の入力ノードに存在するロジック状態によって伝送するように制御されたときに、第2の入力ノードに存在するロジック状態の1つのバージョンを出力ノードに通すように接続されている。第1の入力ノードに存在するロジック状態と第2の入力ノードに存在するロジック状態の両方によって制御されるように、プルダウンロジックが接続されている。そのプルダウンロジックは、第1の入力ノードに存在するロジック状態と第2の入力ノードに存在するロジック状態の両方がロウ(low)のときに、出力ノードに存在する状態をハイ(high)に駆動(drive)するように接続されている。
In one embodiment, an exclusive NOR (XNOR) logic circuit is disclosed.
The XNOR logic circuit includes a first input node, a second input node, and an output node. A pass gate is connected to be controlled by the logic state present at the second input node. The passgate is connected to pass one version of the logic state present at the first input node to the output node when controlled to transmit according to the logic state present at the second input node. ing. A transmission gate is connected to be controlled by the logic state present at the first input node. The transmission gate is connected to pass one version of the logic state present at the second input node to the output node when controlled to transmit by the logic state present at the first input node. Yes. Pull-down logic is connected to be controlled by both the logic state present at the first input node and the logic state present at the second input node. The pull-down logic drives the state present at the output node high when both the logic state present at the first input node and the logic state present at the second input node are low. Connected to (drive).

一実施形態において、排他的否定論理和(XNOR)ロジック回路のレイアウトが開示されている。そのXNORロジック回路レイアウトは、5つのPMOSトランジスタと6つのNMOSトランジスタとを具備する。その5つのPMOSトランジスタは、それぞれ、6つのNMOSトランジスタのうちの5つとペアになっており、PMOS及びNMOSトランジスタの各ペアは、5つのゲート電極トラックの各1つに沿って配置された連続的なゲート電極構造を共有するように画定される。6つのNMOSトランジスタの6番目は、6番目のゲート電極トラックに沿って配置されたゲート電極構造によって画定され、その6番目のNMOSトランジスタはその6番目のゲート電極トラックを、排他的否定論理和ロジック回路レイアウト内の他のトランジスタと共有していない。6つのゲート電極トラックは、お互いに平行に配向している。   In one embodiment, a layout for an exclusive-NOR (XNOR) logic circuit is disclosed. The XNOR logic circuit layout comprises 5 PMOS transistors and 6 NMOS transistors. The five PMOS transistors are each paired with five of the six NMOS transistors, and each pair of PMOS and NMOS transistors is a continuous array disposed along each one of the five gate electrode tracks. Defined to share a common gate electrode structure. The sixth of the six NMOS transistors is defined by a gate electrode structure disposed along the sixth gate electrode track, the sixth NMOS transistor dedicating the sixth gate electrode track to an exclusive NOR logic. Not shared with other transistors in the circuit layout. The six gate electrode tracks are oriented parallel to each other.

本発明の他の態様及び長所は、本発明の実施例として示された添付図面を組み合わせて、後述の詳細な説明からより明らかになるであろう。   Other aspects and advantages of the present invention will become more apparent from the detailed description set forth below when taken in conjunction with the accompanying drawings, shown as examples of the present invention.

従来のXORロジックゲート回路を示す図である。It is a figure which shows the conventional XOR logic gate circuit. 図1Aの従来のXORロジックゲート回路の状態表を示す図である。It is a figure which shows the state table | surface of the conventional XOR logic gate circuit of FIG. 1A. 図1Aの従来のXORロジックゲート回路の状態表を示す図である。It is a figure which shows the state table | surface of the conventional XOR logic gate circuit of FIG. 1A. 図1Aの従来のXORロジックゲート回路の状態表を示す図である。It is a figure which shows the state table | surface of the conventional XOR logic gate circuit of FIG. 1A. 図1Aの従来のXORロジックゲート回路の状態表を示す図である。It is a figure which shows the state table | surface of the conventional XOR logic gate circuit of FIG. 1A. 本発明の一実施形態による従来のXORのレイアウトを示す図である。FIG. 4 is a diagram illustrating a layout of a conventional XOR according to an embodiment of the present invention. 先行技術によるインバータ構成の一例を示す図である。It is a figure which shows an example of the inverter structure by a prior art. 本発明の一実施形態によるXNORロジックゲート回路を示す図である。FIG. 4 illustrates an XNOR logic gate circuit according to an embodiment of the present invention. 本発明の一実施形態による図2AのXNORロジックゲート回路の状態表を示す図である。2B is a diagram illustrating a state table of the XNOR logic gate circuit of FIG. 2A according to one embodiment of the present invention. FIG. 本発明の一実施形態による図2AのXNORロジックゲート回路の状態表を示す図である。2B is a diagram illustrating a state table of the XNOR logic gate circuit of FIG. 2A according to one embodiment of the present invention. FIG. 本発明の一実施形態による図2AのXNORロジックゲート回路の状態表を示す図である。2B is a diagram illustrating a state table of the XNOR logic gate circuit of FIG. 2A according to one embodiment of the present invention. FIG. 本発明の一実施形態による図2AのXNORロジックゲート回路の状態表を示す図である。2B is a diagram illustrating a state table of the XNOR logic gate circuit of FIG. 2A according to one embodiment of the present invention. FIG. 本発明の一実施形態による図2AのXNORロジックゲート回路のレイアウトを示す図である。2B is a diagram illustrating a layout of the XNOR logic gate circuit of FIG. 2A according to one embodiment of the present invention. FIG. 本発明の一実施形態によるXORロジックゲート回路を示す図である。FIG. 3 illustrates an XOR logic gate circuit according to an embodiment of the present invention. 本発明の一実施形態による図3AのXORロジックゲート回路の状態表を示す図である。FIG. 3B is a diagram illustrating a state table of the XOR logic gate circuit of FIG. 3A according to one embodiment of the present invention. 本発明の一実施形態による図3AのXORロジックゲート回路の状態表を示す図である。FIG. 3B is a diagram illustrating a state table of the XOR logic gate circuit of FIG. 3A according to one embodiment of the present invention. 本発明の一実施形態による図3AのXORロジックゲート回路の状態表を示す図である。FIG. 3B is a diagram illustrating a state table of the XOR logic gate circuit of FIG. 3A according to one embodiment of the present invention. 本発明の一実施形態による図3AのXORロジックゲート回路の状態表を示す図である。FIG. 3B is a diagram illustrating a state table of the XOR logic gate circuit of FIG. 3A according to one embodiment of the present invention. 本発明の一実施形態による図3AのXORロジックゲート回路のレイアウトを示す図である。FIG. 3B is a diagram illustrating a layout of the XOR logic gate circuit of FIG. 3A according to one embodiment of the present invention. 本発明の一実施形態による、制限的ゲートレベル・レアウトアーキテクチャ内で画定されたゲート電極トラックの一例を示す図である。FIG. 4 illustrates an example of a gate electrode track defined within a restricted gate level layout architecture, according to one embodiment of the invention. 本発明の一実施形態による、そこで画定された多くの実例的なゲートレベルフィーチャーを有する図4Aの制限的ゲートレベル・レアウトアーキテクチャの一例を示す図である。4B is a diagram illustrating an example of the restrictive gate level layout architecture of FIG. 4A having many illustrative gate level features defined therein, according to one embodiment of the present invention. FIG.

以下の説明において、本発明の十分な理解を提供するために多くの詳細な説明が記載される。しかし、これらの詳細な説明のいくつか又はすべてがなくても本発明が実施されうることが当業者にとって明らかであろう。他の例では、本発明を不必要に不明瞭にしないために、周知のプロセスオペレーションは詳細に説明されていない。   In the following description, numerous detailed descriptions are set forth to provide a thorough understanding of the present invention. However, it will be apparent to those skilled in the art that the present invention may be practiced without some or all of these detailed descriptions. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.

(従来のXOR回路)
図1Aは、従来のXORロジックゲート回路(以下、「XOR100」)を示す。XOR100は、2つの入力A及びBと、1つの出力Qを有する。入力Aはノード101に供給される。入力Bはノード102に供給される。出力Qはノード105から供給される。
図1B〜図1Eは、XOR100の状態表を示す。図1B〜図1Eに示されているように、XOR100は、入力A及びBの種々の状態組み合わせに対して出力Qの適当な状態を提供する。
(Conventional XOR circuit)
FIG. 1A shows a conventional XOR logic gate circuit (hereinafter “XOR100”). XOR 100 has two inputs A and B and one output Q. Input A is supplied to node 101. Input B is supplied to node 102. The output Q is supplied from the node 105.
1B to 1E show state tables of the XOR 100. FIG. As shown in FIGS. 1B-1E, XOR 100 provides the appropriate state of output Q for various state combinations of inputs A and B.

図1Aに示されているように、入力Aを受け取るノード101は、PMOSトランジスタ117のゲートと、NMOSトランジスタ120のゲートに接続されている。ノード101は、また、インバータ110の入力に接続されている。インバータ110の出力は、ノード103に接続されている。ノード103は、PMOSトランジスタ113のゲートと、NMOSトランジスタ116のゲートに接続されている。   As shown in FIG. 1A, the node 101 that receives the input A is connected to the gate of the PMOS transistor 117 and the gate of the NMOS transistor 120. Node 101 is also connected to the input of inverter 110. The output of the inverter 110 is connected to the node 103. The node 103 is connected to the gate of the PMOS transistor 113 and the gate of the NMOS transistor 116.

ノード102は、PMOSトランジスタ114のゲートと、NMOSトランジスタ119のゲートに接続されている。ノード102は、また、インバータ111の入力に接続されている。インバータ111の出力は、ノード104に接続されている。ノード104は、NMOSトランジスタ115のゲートと、PMOSトランジスタ118のゲートに接続されている。   The node 102 is connected to the gate of the PMOS transistor 114 and the gate of the NMOS transistor 119. Node 102 is also connected to the input of inverter 111. The output of the inverter 111 is connected to the node 104. The node 104 is connected to the gate of the NMOS transistor 115 and the gate of the PMOS transistor 118.

PMOSトランジスタ113及び114は、電源(VDD)と、XOR100の出力Qを供給するノード105の間で直列に接続されている。NMOSトランジスタ115及び116は、ノード105と基準接地電位(GND)の間で直列に接続されている。PMOSトランジスタ117及び118は、電源(VDD)とノード105の間で直列に接続されている。NMOSトランジスタ119及び120は、ノード105と基準接地電位(GND)の間で直列に接続されている。   The PMOS transistors 113 and 114 are connected in series between the power supply (VDD) and the node 105 that supplies the output Q of the XOR 100. The NMOS transistors 115 and 116 are connected in series between the node 105 and the reference ground potential (GND). The PMOS transistors 117 and 118 are connected in series between the power supply (VDD) and the node 105. The NMOS transistors 119 and 120 are connected in series between the node 105 and the reference ground potential (GND).

上記に基づいて、従来のXOR100は、2組のプルアップロジックを具備し、第1組はPMOSトランジスタ113及び114で画定され、第2組はPMOSトランジスタ117及び118で画定される。また、XOR100は、2組のプルダウンロジックを具備し、第1組はNMOSトランジスタ115及び116で画定され、第2組はNMOSトランジスタ119及び120で画定される。プルアップロジック及びプルダウンロジックの各組は、入力Aのバージョンと入力Bのバージョンの両方によって制御される。したがって、入力A及びBに基づいて、従来のXOR100の回路は、プルアップロジックのいずれかの組、またはプルダウンロジックのいずれかの組の使用によって、出力Qをハイ又はロウのいずれかに駆動するように画定される。   Based on the above, the conventional XOR 100 comprises two sets of pull-up logic, a first set defined by PMOS transistors 113 and 114 and a second set defined by PMOS transistors 117 and 118. XOR 100 also includes two sets of pull-down logic, a first set defined by NMOS transistors 115 and 116 and a second set defined by NMOS transistors 119 and 120. Each set of pull-up and pull-down logic is controlled by both an input A version and an input B version. Thus, based on inputs A and B, a conventional XOR 100 circuit drives output Q either high or low by the use of either set of pull-up logic or pull-down logic. Is defined as follows.

さらに、当然のことながら、インバータ110及び111のそれぞれは、1つのPMOSトランジスタと1つのNMOSトランジスタを具備する。図1Gは、従来技術によるインバータ構成例を示す。このインバータは、入力信号Aを受け取り、出力信号Qを生成する。このインバータは、入力信号Aによって制御されるように接続されたゲートと、電源(VDD)に接続された第1端子と、出力信号Qを供給するように接続された第2端子とを有するPMOSトランジスタ192を具備する。このインバータは、入力信号Aを受け取り、出力信号Qを生成する。このインバータは、また、入力信号Aによって制御されるように接続されたゲートと、出力信号Qを供給するように接続された第1端子と、基準接地電位(GND)に接続された第2端子とを有するNMOSトランジスタ193を具備する。このインバータの入力Aがハイのとき出力はロウになり、逆もまた同様である。1つのPMOSトランジスタと1つのNMOSトランジスタを備える各インバータに基づいて、当然のことながら、従来のXOR100は、全部で6つのPMOSトランジスタと、6つのNMOSトランジスタを具備する。   Furthermore, it will be appreciated that each of the inverters 110 and 111 comprises one PMOS transistor and one NMOS transistor. FIG. 1G shows an example of an inverter configuration according to the prior art. This inverter receives an input signal A and generates an output signal Q. The inverter has a PMOS connected to be controlled by an input signal A, a first terminal connected to a power supply (VDD), and a second terminal connected to supply an output signal Q. A transistor 192 is provided. This inverter receives an input signal A and generates an output signal Q. The inverter also has a gate connected to be controlled by the input signal A, a first terminal connected to supply the output signal Q, and a second terminal connected to a reference ground potential (GND). And an NMOS transistor 193. When the input A of this inverter is high, the output will be low and vice versa. Of course, based on each inverter comprising one PMOS transistor and one NMOS transistor, the conventional XOR 100 comprises a total of six PMOS transistors and six NMOS transistors.

図1Fは、本発明の一実施形態によるXOR100のレイアウトを示す。XOR100のレイアウトは、ここで説明されるように、制限的ゲートレベル・レイアウト・アーキテクチャに従って画定される。図1Aに関して前述した種々のPMOS及びNMOSトランジスタは、図1Fにおいて対応して符号付けされている。図1Aに関して前述した種々のノードもまた、図1Fにおいて対応して符号付けされている。PMOSトランジスタ118及びNMOSトランジスタ119のゲート電極は、エンドツーエンド(end-to-end、端から端までの)スペース195によってそれらがゲートレベル内で分離されるような同一線上(co-linear)で画定される。また、PMOSトランジスタ114及びNMOSトランジスタ115のゲート電極は、エンドツーエンド(end-to-end、端から端までの)スペース196によってそれらがゲートレベル内で分離されるような同一線上(co-linear)で画定される。   FIG. 1F shows a layout of XOR 100 according to one embodiment of the present invention. The layout of XOR 100 is defined according to a restrictive gate level layout architecture, as described herein. The various PMOS and NMOS transistors described above with respect to FIG. 1A are correspondingly labeled in FIG. 1F. The various nodes described above with respect to FIG. 1A are also correspondingly labeled in FIG. 1F. The gate electrodes of PMOS transistor 118 and NMOS transistor 119 are co-linear such that they are separated within the gate level by an end-to-end space 195. Defined. Also, the gate electrodes of PMOS transistor 114 and NMOS transistor 115 are co-linear such that they are separated within the gate level by an end-to-end space 196. ).

制限的ゲートレベル・アーキテクチャを使用して6つのゲート電極トラック内で従来のXOR100をレイアウトするために、XOR100のゲートレベル内で、少なくとも2つのゲート電極のエンドツーエンド・スペース(例えば、195及び196)を有することが必要であることが理解されるべきである。このようなエンドツーエンド・ゲート電極スペースは、最小エンドツーエンド・スペースサイズを必要とする利用可能なデザインルールに従って画定される。したがって、当然のことながら、エンドツーエンド・ゲート電極スペースの存在は、P型及びN型拡散領域が、エンドツーエンド・ゲート電極スペースが無い場合に必要とされるよりもさらに離れて分離されるべきことを必要とし、それにより、より大きい全体セル高さを必要とする。   To lay out a conventional XOR 100 in six gate electrode tracks using a restrictive gate level architecture, end-to-end space (eg, 195 and 196) of at least two gate electrodes within the gate level of the XOR 100. It should be understood that it is necessary to have Such end-to-end gate electrode space is defined according to available design rules that require a minimum end-to-end space size. Thus, of course, the presence of the end-to-end gate electrode space separates the P-type and N-type diffusion regions further apart than would be required without the end-to-end gate electrode space. Requires a power, thereby requiring a larger overall cell height.

(XORの回路及びレイアウトの実施形態)
図3Aは、本発明の一実施形態によるXORロジックゲート回路300(以下、「XOR300」)を示す。XOR300は、2つの入力A及びBと、1つの出力Qを具備する。入力Aはノード301に供給される。入力Bはノード302に供給される。出力Qはノード307から供給される。図3B〜図3Eは、本発明の一実施形態によるXOR300の状態表を示す。図3B〜図3Eに示されているように、XOR300は、入力A及びBの種々の状態組み合わせに対して出力Qの適当な状態を提供する。
(Embodiment of XOR circuit and layout)
FIG. 3A illustrates an XOR logic gate circuit 300 (hereinafter “XOR 300”) according to one embodiment of the invention. XOR 300 comprises two inputs A and B and one output Q. Input A is supplied to node 301. Input B is supplied to node 302. The output Q is supplied from the node 307. 3B-3E illustrate a state table for the XOR 300 according to one embodiment of the present invention. As shown in FIGS. 3B-3E, XOR 300 provides the appropriate state of output Q for various state combinations of inputs A and B.

図3Aに示されているように、入力Aを受け取るノード301は、インバータ310の入力とPMOSトランジスタ314のゲートの両方に接続されている。入力Bを受け取るノード302は、インバータ311の入力に接続されている。インバータ310の出力は、ノード303に接続されている。ノード303は、1)NMOSトランジスタ312の第1端子、2)PMOSトランジスタ316のゲート、3)NMOSトランジスタ313のゲートに接続されている。インバータ311の出力はノード304に接続されている。
ノード304は、1)NMOSトランジスタ312のゲート、2)PMOSトランジスタ315のゲート、3)NMOSトランジスタ313の第1端子、及び4)PMOSトランジスタ314の第1端子に接続されている。
As shown in FIG. 3A, node 301 that receives input A is connected to both the input of inverter 310 and the gate of PMOS transistor 314. The node 302 that receives the input B is connected to the input of the inverter 311. The output of the inverter 310 is connected to the node 303. The node 303 is connected to 1) the first terminal of the NMOS transistor 312, 2) the gate of the PMOS transistor 316, and 3) the gate of the NMOS transistor 313. The output of the inverter 311 is connected to the node 304.
The node 304 is connected to 1) the gate of the NMOS transistor 312, 2) the gate of the PMOS transistor 315, 3) the first terminal of the NMOS transistor 313, and 4) the first terminal of the PMOS transistor 314.

ノード305は、1)NMOSトランジスタ312の第2端子、2)NMOSトランジスタ313の第2端子、3)PMOSトランジスタ314の第2端子、4)PMOSトランジスタ316の第2端子のそれぞれに接続されている。PMOSトランジスタ315の第1端子は電源(VDD)に接続されている。PMOSトランジスタ315の第2端子は、PMOSトランジスタ316の第1端子に接続されているノード306に接続されている。ノード305はインバータ317の入力に接続されている。インバータ317の出力は、XOR300の出力Qを提供するノード307に接続されている。   The node 305 is connected to 1) the second terminal of the NMOS transistor 312, 2) the second terminal of the NMOS transistor 313, 3) the second terminal of the PMOS transistor 314, and 4) the second terminal of the PMOS transistor 316. . A first terminal of the PMOS transistor 315 is connected to a power supply (VDD). The second terminal of the PMOS transistor 315 is connected to a node 306 that is connected to the first terminal of the PMOS transistor 316. Node 305 is connected to the input of inverter 317. The output of the inverter 317 is connected to a node 307 that provides the output Q of the XOR 300.

図3B〜3Eの状態表は、入力A及びBに異なる状態組み合わせを適用した場合のXOR300の種々のノード(ノード301からノード307まで)の異なる状態を示す。インバータ310、311、及び317のそれぞれは、1つのPMOSトランジスタと1つのNMOSトランジスタを具備する。したがって、全部で6つのPMOSトランジスタと6つのNMOSトランジスタを具備する従来のXOR100と比較して、XOR300は、全部で6つのPMOSトランジスタと5つのNMOSトランジスタを具備し、それによって1つのNMOSトランジスタを節約している。   The state tables of FIGS. 3B-3E show the different states of the various nodes (from node 301 to node 307) of XOR 300 when different state combinations are applied to inputs A and B. Each of the inverters 310, 311, and 317 includes one PMOS transistor and one NMOS transistor. Thus, compared to the conventional XOR100 with a total of 6 PMOS transistors and 6 NMOS transistors, the XOR300 has a total of 6 PMOS transistors and 5 NMOS transistors, thereby saving one NMOS transistor doing.

図3B〜図3Eに示すように、2入力XOR300は、入力A及びBの4つの固有の組み合わせを処理するように規定される。特に、NMOSトランジスタ313及びPMOSトランジスタ314は、ともに、入力Aによって制御される伝送ゲート350を画定する。入力Aの状態がロウのとき、すなわち論理0のとき、伝送ゲート350は、出力Qの状態の制御に貢献して出力Qの状態が入力Bの状態に一致する。NMOSトランジスタ312は、入力Bによって制御されるパスゲート360を画定する。入力Bの状態がロウのとき、すなわち論理0のとき、パスゲート360は、出力Qの状態の制御に貢献して出力Qの状態が入力Aの状態に一致する。   As shown in FIGS. 3B-3E, a two-input XOR 300 is defined to process four unique combinations of inputs A and B. In particular, NMOS transistor 313 and PMOS transistor 314 together define a transmission gate 350 that is controlled by input A. When the state of input A is low, that is, logic 0, transmission gate 350 contributes to the control of the state of output Q and the state of output Q matches the state of input B. NMOS transistor 312 defines a pass gate 360 controlled by input B. When the state of the input B is low, that is, when the logic is 0, the pass gate 360 contributes to the control of the state of the output Q, and the state of the output Q matches the state of the input A.

PMOSトランジスタ315及び316は、ともに、入力A及びBの両方によって制御されるプルアップロジック370を画定する。入力Aの状態と入力Bの状態の両方がハイのとき、すなわち論理1のとき、伝送ゲート350とパスゲート360の両方がディセーブル(disabled、不能)になり、プルアップロジック370が出力Qの状態を制御し、出力Qの状態がロウ、すなわち論理0、になる。入力A及びBの状態のどちらかがロウのとき、すなわち論理0のとき、プルアップロジック370はディセーブルになる。   PMOS transistors 315 and 316 together define pull-up logic 370 that is controlled by both inputs A and B. When both the state of input A and the state of input B are high, i.e. logic 1, both transmission gate 350 and pass gate 360 are disabled and pull-up logic 370 is in the output Q state. And the state of the output Q becomes low, that is, logic 0. Pull-up logic 370 is disabled when either input A or B state is low, ie, logic zero.

XOR300は、以下のいずれかで規定される:
・入力Bによって制御されるパスゲート360によって、入力Aの状態のバージョンを出力Qに通過させる、
・入力Aによって制御される伝送ゲート350によって、入力Bの状態のバージョンを出力Qへ通過させる、または
・入力A及びBの両方の制御のもと、プルアップロジック370によって出力Qの状態をロウに駆動する。
XOR 300 is defined by either:
Pass the version of the state of input A to output Q by passgate 360 controlled by input B;
Pass the version of the state of input B to output Q by transmission gate 350 controlled by input A, or pull the state of output Q low by pull-up logic 370 under the control of both inputs A and B. To drive.

上記のように、XORロジック回路300は、第1の入力Aノード301、第2の入力Bノード302、及び出力Qノード307を具備する。パスゲート360は、第2の入力ノード302に存在するロジック状態によって制御されるように接続される。パスゲート360は、第2の入力ノード302に存在するロジック状態によって伝送するように制御されたときに、第1の入力ノード301に存在するロジック状態のバージョンを出力ノード307へ通過させるように接続される。伝送ゲート350は、第1の入力ノード301に存在するロジック状態によって制御されるように接続される。伝送ゲート350は、第1の入力ノード301に存在するロジック状態によって伝送するように制御されたときに、第2の入力ノード302に存在するロジック状態のバージョンを出力ノード307へ通過させるように接続される。プルアップロジック370は、第1の入力ノード301に存在するロジック状態と第2の入力ノード302に存在するロジック状態の両方によって制御されるように接続される。プルアップロジック370は、第1の入力ノード301に存在するロジック状態と第2の入力ノード302に存在するロジック状態の両方がハイのときに、出力ノード307に存在する状態をロウに駆動するように接続される。   As described above, the XOR logic circuit 300 includes the first input A node 301, the second input B node 302, and the output Q node 307. Passgate 360 is connected to be controlled by the logic state present at second input node 302. Passgate 360 is connected to pass a version of the logic state present at first input node 301 to output node 307 when controlled to transmit according to the logic state present at second input node 302. The Transmission gate 350 is connected to be controlled by the logic state present at first input node 301. The transmission gate 350 is connected to pass the version of the logic state present at the second input node 302 to the output node 307 when controlled to transmit according to the logic state present at the first input node 301. Is done. The pull-up logic 370 is connected to be controlled by both the logic state present at the first input node 301 and the logic state present at the second input node 302. Pull-up logic 370 drives the state present at output node 307 low when both the logic state present at first input node 301 and the logic state present at second input node 302 are high. Connected to.

図3Fは、本発明の一実施形態によるXOR300のレイアウトを示す。一実施形態では、XOR300のレイアウトは、本明細書で説明されるように、制限的ゲートレベルレイアウトアーキテクチャに基づいて画定される。インバータ310は、単一のゲート電極トラック380に沿って画定された連続的なゲート電極構造310Gを共有するPMOSトランジスタ310PとNMOSトランジスタ310Nによって画定される。インバータ311は、単一のゲート電極トラック384に沿って画定された連続的なゲート電極構造311Gを共有するPMOSトランジスタ311PとNMOSトランジスタ311Nによって画定される。インバータ317は、単一のゲート電極トラック385に沿って画定された連続的なゲート電極構造317Gを共有するPMOSトランジスタ317PとNMOSトランジスタ317Nによって画定される。   FIG. 3F shows a layout of XOR 300 according to one embodiment of the present invention. In one embodiment, the layout of XOR 300 is defined based on a restrictive gate level layout architecture, as described herein. The inverter 310 is defined by a PMOS transistor 310P and an NMOS transistor 310N that share a continuous gate electrode structure 310G defined along a single gate electrode track 380. The inverter 311 is defined by a PMOS transistor 311P and an NMOS transistor 311N that share a continuous gate electrode structure 311G defined along a single gate electrode track 384. The inverter 317 is defined by a PMOS transistor 317P and an NMOS transistor 317N that share a continuous gate electrode structure 317G defined along a single gate electrode track 385.

プルアップロジック370のPMOSトランジスタ315とパスゲート360のNMOSトランジスタ312は、単一ゲート電極トラック381に沿って画定される連続的なゲート電極構造381Gを共有する。プルアップロジック370のPMOSトランジスタ316と伝送ゲート350のNMOSトランジスタ313は、単一ゲート電極トラック382に沿って画定される連続的なゲート電極構造382Gを共有する。伝送ゲート350のPMOSトランジスタ314は、単一ゲート電極トラック383に沿って画定される。ノード301〜307は、図3Aに示されるような種々のトランジスタ間の接続を行うように、XOR300レイアウト内で、コンタクト、相互接続構造(M1,M2)、及びヴィア(Via1)の種々の組み合わせによって画定される。   The PMOS transistor 315 of the pull-up logic 370 and the NMOS transistor 312 of the pass gate 360 share a continuous gate electrode structure 381G defined along a single gate electrode track 381. The PMOS transistor 316 of the pull-up logic 370 and the NMOS transistor 313 of the transmission gate 350 share a continuous gate electrode structure 382G defined along a single gate electrode track 382. The PMOS transistor 314 of the transmission gate 350 is defined along a single gate electrode track 383. Nodes 301-307 are connected by various combinations of contacts, interconnect structures (M1, M2), and vias (Via1) in the XOR300 layout to make connections between the various transistors as shown in FIG. 3A. Defined.

当然のことながら、XOR300のレイアウトは、制限的ゲート電極アーキテクチャによって画定されたときに、6つの隣接するゲート電極トラック(380〜385)を使用して画定される。一実施形態では、6つの隣接するゲート電極トラック(380〜385)は、均一に離間されている。しかし、他の実施形態では、6つの隣接するゲート電極トラック(380〜385)を離間させるために、異なる垂直方向スペースを使用することができる。また、当然のことながら、XOR300のレイアウトは、制限的ゲート電極アーキテクチャによって画定されたときに、対向するゲート電極ライン端の配置を必要としない。言い換えれば、XOR300レイアウト内の任意のゲート電極トラックに沿ってエンドツーエンド(end-to-end)に置かれたゲート電極構造がない。したがって、ゲート電極フィーチャー間のエンドツーエンドスペースを製造することに関連したリソグラフィの困難性が回避される。   Of course, the layout of XOR 300 is defined using six adjacent gate electrode tracks (380-385) as defined by the restrictive gate electrode architecture. In one embodiment, six adjacent gate electrode tracks (380-385) are evenly spaced. However, in other embodiments, different vertical spaces can be used to separate six adjacent gate electrode tracks (380-385). Also, it will be appreciated that the XOR 300 layout does not require the placement of opposing gate electrode line ends as defined by a restrictive gate electrode architecture. In other words, there is no gate electrode structure placed end-to-end along any gate electrode track in the XOR 300 layout. Thus, lithography difficulties associated with producing end-to-end space between gate electrode features are avoided.

また、P型拡散領域及びN型拡散領域の間に任意のゲート電極トラックに沿って置かれたエンドツーエンド・ゲート電極スペースが存在しないので、P型及びN型拡散領域間の垂直方向のレイアウトスペースを、エンドツーエンド・ゲート電極スペースの配置/製造に関連したデザインルールによって規定されるような、最小サイズ要求に従う必要がない。したがって、ある実施形態において必要であれば、P型及びN型拡散領域間のスペースをより近づけることにより、XOR300レイアウトの全体のセル高さ、すなわちVDD及びGND間の垂直方向距離を減らすことができる。   Also, since there is no end-to-end gate electrode space located along any gate electrode track between the P-type and N-type diffusion regions, the vertical layout between the P-type and N-type diffusion regions The space does not have to follow minimum size requirements as defined by design rules related to the placement / manufacture of end-to-end gate electrode space. Thus, if required in certain embodiments, the overall cell height of the XOR 300 layout, ie, the vertical distance between VDD and GND, can be reduced by making the space between the P-type and N-type diffusion regions closer. .

さらに、図3A及び図3Fの実施例は、PMOSトランジスタ315のゲートが第2の入力インバータ311の出力に接続され、PMOSトランジスタ316のゲートが第1の入力インバータ310の出力に接続さるように画定されたプルアップロジック370を示すが、当然のことながら、PMOSトランジスタ315及び316の積み重ねを逆にすることが可能である。とくに、一実施形態では、プルアップロジック370は、PMOSトランジスタ315が第1の入力インバータ310の出力に接続され、PMOSトランジスタ316のゲートが第2の入力インバータ311の出力に接続されるように画定される。   Further, the embodiment of FIGS. 3A and 3F is defined such that the gate of the PMOS transistor 315 is connected to the output of the second input inverter 311 and the gate of the PMOS transistor 316 is connected to the output of the first input inverter 310. Although pulled-up logic 370 is shown, it should be understood that the stacking of PMOS transistors 315 and 316 can be reversed. In particular, in one embodiment, the pull-up logic 370 is defined such that the PMOS transistor 315 is connected to the output of the first input inverter 310 and the gate of the PMOS transistor 316 is connected to the output of the second input inverter 311. Is done.

(XNOR回路及びレイアウトの実施形態)
図2Aは、本発明の一実施形態によるXNORロジックゲート回路200(以下、「XNOR200」)を示す。XNOR200は、2つの入力A及びBと1つの出力Qを具備する。入力Aはノード201に供給される。入力Bはノード202に供給される。出力Qはノード207から供給される。図2B〜図2Eは、本発明の一実施形態によるXNOR200の状態表を示す。図2B〜図2Eに示されているように、XNOR200は、入力A及びBの種々の状態組み合わせに対して出力Qの適当な状態を提供する。
(XNOR circuit and layout embodiments)
FIG. 2A illustrates an XNOR logic gate circuit 200 (hereinafter “XNOR 200”) according to one embodiment of the invention. The XNOR 200 has two inputs A and B and one output Q. Input A is supplied to node 201. Input B is supplied to node 202. The output Q is supplied from the node 207. 2B-2E illustrate state tables of XNOR 200 according to one embodiment of the present invention. As shown in FIGS. 2B-2E, XNOR 200 provides the appropriate state of output Q for various state combinations of inputs A and B.

図2Aに示されているように、入力Aを受け取るノード201は、インバータ210の入力とNMOSトランジスタ214のゲートの両方に接続されている。入力Bを受け取るノード202は、インバータ211の入力に接続されている。インバータ210の出力は、ノード203に接続されている。ノード203は、1)PMOSトランジスタ212の第1端子、2)PMOSトランジスタ213のゲート、3)NMOSトランジスタ215のゲートに接続されている。インバータ211の出力はノード204に接続されている。
ノード204は、1)PMOSトランジスタ212のゲート、2)NMOSトランジスタ216のゲート、3)PMOSトランジスタ213の第1端子、及び4)NMOSトランジスタ214の第1端子に接続されている。
As shown in FIG. 2A, node 201 receiving input A is connected to both the input of inverter 210 and the gate of NMOS transistor 214. The node 202 that receives the input B is connected to the input of the inverter 211. The output of the inverter 210 is connected to the node 203. The node 203 is connected to 1) a first terminal of the PMOS transistor 212, 2) a gate of the PMOS transistor 213, and 3) a gate of the NMOS transistor 215. The output of the inverter 211 is connected to the node 204.
The node 204 is connected to 1) the gate of the PMOS transistor 212, 2) the gate of the NMOS transistor 216, 3) the first terminal of the PMOS transistor 213, and 4) the first terminal of the NMOS transistor 214.

ノード205は、1)PMOSトランジスタ212の第2端子、2)PMOSトランジスタ213の第2端子、3)NMOSトランジスタ214の第2端子、及び4)NMOSトランジスタ215の第2端子のそれぞれに接続されている。NMOSトランジスタ216の第1端子は基準接地電位(GND)に接続されている。NMOSトランジスタ216の第2端子は、NMOSトランジスタ215の第1端子に接続されているノード206に接続されている。ノード205はインバータ217の入力に接続されている。インバータ217の出力は、XNOR200の出力Qを提供するノード207に接続されている。図2B〜図2Eの状態表は、入力A及びBに異なる状態組み合わせを適用した場合のXNOR200の種々のノード(ノード201からノード207まで)の異なる状態を示す。インバータ210、211、及び217のそれぞれは、1つのPMOSトランジスタと1つのNMOSトランジスタを具備する。したがって、XNOR200は、全部で5つのPMOSトランジスタと6つのNMOSトランジスタを具備する。   The node 205 is connected to each of 1) the second terminal of the PMOS transistor 212, 2) the second terminal of the PMOS transistor 213, 3) the second terminal of the NMOS transistor 214, and 4) the second terminal of the NMOS transistor 215. Yes. A first terminal of the NMOS transistor 216 is connected to a reference ground potential (GND). The second terminal of the NMOS transistor 216 is connected to a node 206 that is connected to the first terminal of the NMOS transistor 215. Node 205 is connected to the input of inverter 217. The output of the inverter 217 is connected to a node 207 that provides the output Q of the XNOR 200. The state tables of FIGS. 2B-2E show different states of the various nodes (from node 201 to node 207) of XNOR 200 when different state combinations are applied to inputs A and B. Each of the inverters 210, 211, and 217 includes one PMOS transistor and one NMOS transistor. Accordingly, the XNOR 200 includes a total of five PMOS transistors and six NMOS transistors.

図2B〜図2Eに示すように、2入力XNOR200は、入力A及びBの4つの固有の組み合わせを処理するように規定される。特に、PMOSトランジスタ213及びNMOSトランジスタ214は、ともに、入力Aによって制御される伝送ゲート250を画定する。入力Aの状態がハイのとき、すなわち論理1のとき、伝送ゲート250は、出力Qの状態の制御に貢献して出力Qの状態が入力Bの状態に一致する。PMOSトランジスタ212は、入力Bによって制御されるパスゲート260を画定する。入力Bの状態がハイのとき、すなわち論理1のとき、パスゲート260は、出力Qの状態の制御に貢献して出力Qの状態が入力Aの状態に一致する。   As shown in FIGS. 2B-2E, a two-input XNOR 200 is defined to process four unique combinations of inputs A and B. In particular, PMOS transistor 213 and NMOS transistor 214 together define a transmission gate 250 controlled by input A. When the state of input A is high, i.e. logic 1, transmission gate 250 contributes to control of the state of output Q and the state of output Q matches the state of input B. PMOS transistor 212 defines a pass gate 260 controlled by input B. When the state of the input B is high, that is, when the logic is 1, the pass gate 260 contributes to the control of the state of the output Q and the state of the output Q matches the state of the input A.

NMOSトランジスタ215及び216は、ともに、入力A及びBの両方によって制御されるプルダウンロジック270を画定する。入力Aの状態と入力Bの状態の両方がロウのとき、すなわち論理0のとき、伝送ゲート250とパスゲート260の両方がディセーブル(disabled、不能)になり、プルダウンロジック270が出力Qの状態を制御し、出力Qの状態がハイ、すなわち論理1、になる。入力A及びBの状態のどちらかがハイのとき、すなわち論理1のとき、プルダウンロジック270はディセーブルになる。   NMOS transistors 215 and 216 together define pull-down logic 270 that is controlled by both inputs A and B. When both the state of input A and the state of input B are low, ie, logic 0, both transmission gate 250 and pass gate 260 are disabled, and pull-down logic 270 changes the state of output Q. Control, the state of the output Q is high, ie, logic one. Pull-down logic 270 is disabled when either state of inputs A and B is high, ie, logic one.

上記に基づいて、XNOR200は、以下のいずれかで規定される:
・入力Bによって制御されるパスゲート260によって、入力Aの状態のバージョンを出力Qに通過させる、
・入力Aによって制御される伝送ゲート250によって、入力Bの状態のバージョンを出力Qへ通過させる、または
・入力A及びBの両方の制御のもと、プルダウンロジック270によって出力Qの状態をハイに駆動する。
Based on the above, XNOR 200 is defined by one of the following:
Pass a version of the state of input A to output Q by passgate 260 controlled by input B;
Pass the version of the state of input B to output Q by transmission gate 250 controlled by input A, or pull the state of output Q high by pull-down logic 270 under the control of both inputs A and B To drive.

上記のように、XNORロジック回路200は、第1の入力Aノード201、第2の入力Bノード202、及び出力Qノード207を具備する。パスゲート260は、第2の入力ノード202に存在するロジック状態によって制御されるように接続される。パスゲート260は、第2の入力ノード202に存在するロジック状態によって伝送するように制御されたときに、第1の入力ノード201に存在するロジック状態のバージョンを出力ノード207へ通過させるように接続される。伝送ゲート250は、第1の入力ノード201に存在するロジック状態によって制御されるように接続される。伝送ゲート250は、第1の入力ノード201に存在するロジック状態によって伝送するように制御されたときに、第2の入力ノード202に存在するロジック状態のバージョンを出力ノード207へ通過させるように接続される。プルダウンロジック270は、第1の入力ノード201に存在するロジック状態と第2の入力ノード202に存在するロジック状態の両方によって制御されるように接続される。プルダウンロジック270は、第1の入力ノード201に存在するロジック状態と第2の入力ノード202に存在するロジック状態の両方がロウのときに、出力ノード207に存在する状態をハイに駆動するように接続される。   As described above, the XNOR logic circuit 200 includes the first input A node 201, the second input B node 202, and the output Q node 207. Pass gate 260 is connected to be controlled by the logic state present at second input node 202. Passgate 260 is connected to pass the version of the logic state present at first input node 201 to output node 207 when controlled to transmit according to the logic state present at second input node 202. The Transmission gate 250 is connected to be controlled by the logic state present at first input node 201. The transmission gate 250 is connected to pass the version of the logic state present at the second input node 202 to the output node 207 when controlled to transmit according to the logic state present at the first input node 201. Is done. The pull-down logic 270 is connected to be controlled by both the logic state present at the first input node 201 and the logic state present at the second input node 202. Pull-down logic 270 drives the state present at output node 207 high when both the logic state present at first input node 201 and the logic state present at second input node 202 are low. Connected.

図2Fは、本発明の一実施形態によるXNOR200のレイアウトを示す。一実施形態では、XNOR200のレイアウトは、本明細書で説明されるように、制限的ゲートレベルレイアウトアーキテクチャに基づいて画定される。インバータ210は、単一のゲート電極トラック280に沿って画定された連続的なゲート電極構造210Gを共有するPMOSトランジスタ210PとNMOSトランジスタ210Nによって画定される。インバータ211は、単一のゲート電極トラック284に沿って画定された連続的なゲート電極構造211Gを共有するPMOSトランジスタ211PとNMOSトランジスタ211Nによって画定される。インバータ217は、単一のゲート電極トラック285に沿って画定された連続的なゲート電極構造217Gを共有するPMOSトランジスタ217PとNMOSトランジスタ217Nによって画定される。   FIG. 2F shows a layout of XNOR 200 according to one embodiment of the present invention. In one embodiment, the layout of XNOR 200 is defined based on a restrictive gate level layout architecture, as described herein. Inverter 210 is defined by PMOS transistor 210P and NMOS transistor 210N sharing a continuous gate electrode structure 210G defined along a single gate electrode track 280. The inverter 211 is defined by a PMOS transistor 211P and an NMOS transistor 211N that share a continuous gate electrode structure 211G defined along a single gate electrode track 284. Inverter 217 is defined by PMOS transistor 217P and NMOS transistor 217N sharing a continuous gate electrode structure 217G defined along a single gate electrode track 285.

プルダウンロジック270のNMOSトランジスタ216とパスゲート260のPMOSトランジスタ212は、単一ゲート電極トラック281に沿って画定される連続的なゲート電極構造281Gを共有する。プルダウンロジック270のNMOSトランジスタ215と伝送ゲート250のPMOSトランジスタ213は、単一ゲート電極トラック282に沿って画定される連続的なゲート電極構造282Gを共有する。伝送ゲート250のNMOSトランジスタ214は、単一ゲート電極トラック283に沿って画定される。ノード201〜207は、図2Aに示されるような種々のトランジスタ間の接続を行うように、XNOR200レイアウト内で、コンタクト、相互接続構造(M1,M2)、及びヴィア(Via1)の種々の組み合わせによって画定される。   The NMOS transistor 216 of the pull-down logic 270 and the PMOS transistor 212 of the pass gate 260 share a continuous gate electrode structure 281G defined along a single gate electrode track 281. The NMOS transistor 215 of the pull-down logic 270 and the PMOS transistor 213 of the transmission gate 250 share a continuous gate electrode structure 282G defined along a single gate electrode track 282. The NMOS transistor 214 of the transmission gate 250 is defined along a single gate electrode track 283. Nodes 201-207 are connected by various combinations of contacts, interconnect structures (M1, M2), and vias (Via1) in the XNOR200 layout to provide connections between the various transistors as shown in FIG. 2A. Defined.

当然のことながら、XNOR200のレイアウトは、制限的ゲート電極アーキテクチャによって画定されたときに、6つの隣接するゲート電極トラック(280〜285)を使用して画定される。一実施形態では、6つの隣接するゲート電極トラック(280〜285)は、均一に離間されている。しかし、他の実施形態では、6つの隣接するゲート電極トラック(280〜285)を離間させるために、異なる垂直方向スペースを使用することができる。また、当然のことながら、XNOR200のレイアウトは、制限的ゲート電極アーキテクチャによって画定されたときに、対向するゲート電極ライン端の配置を必要としない。言い換えれば、XNOR200レイアウト内の任意のゲート電極トラックに沿ってエンドツーエンド(end-to-end)に置かれたゲート電極構造がない。したがって、ゲート電極フィーチャー間のエンドツーエンドスペースを製造することに関連したリソグラフィの困難性が回避される。   Of course, the layout of XNOR 200 is defined using six adjacent gate electrode tracks (280-285) as defined by the restrictive gate electrode architecture. In one embodiment, six adjacent gate electrode tracks (280-285) are evenly spaced. However, in other embodiments, different vertical spaces can be used to separate six adjacent gate electrode tracks (280-285). Also, it will be appreciated that the XNOR 200 layout does not require the placement of opposing gate electrode line ends as defined by a restrictive gate electrode architecture. In other words, there is no gate electrode structure placed end-to-end along any gate electrode track in the XNOR 200 layout. Thus, lithography difficulties associated with producing end-to-end space between gate electrode features are avoided.

また、P型拡散領域及びN型拡散領域の間に任意のゲート電極トラックに沿って置かれたエンドツーエンド・ゲート電極スペースが存在しないので、P型及びN型拡散領域間の垂直方向のレイアウトスペースを、エンドツーエンド・ゲート電極スペースの配置/製造に関連したデザインルールによって規定されるような、最小サイズ要求に従う必要がない。したがって、ある実施形態において必要であれば、P型及びN型拡散領域間のスペースをより近づけることにより、XNOR200レイアウトの全体のセル高さ、すなわちVDD及びGND間の垂直方向距離を減らすことができる。   Also, since there is no end-to-end gate electrode space located along any gate electrode track between the P-type and N-type diffusion regions, the vertical layout between the P-type and N-type diffusion regions The space does not have to follow minimum size requirements as defined by design rules related to the placement / manufacture of end-to-end gate electrode space. Thus, if required in certain embodiments, the overall cell height of the XNOR200 layout, ie, the vertical distance between VDD and GND, can be reduced by making the space between the P-type and N-type diffusion regions closer. .

当然のことながら、本明細書で記載されたXOR300回路及び関連レイアウトは、出力インバータ317を取り除くことにより、XNOR回路及び関連レイアウトに変換することができる。この変換された構成では、出力ノード307はノード305に相当し、出力Qと入力A及びBの間の関係は、XNOR200の図2B〜図2Eの状態表に示されたものと同じになる。   Of course, the XOR 300 circuit and associated layout described herein can be converted to an XNOR circuit and associated layout by removing the output inverter 317. In this transformed configuration, output node 307 corresponds to node 305 and the relationship between output Q and inputs A and B is the same as that shown in the state tables of XNOR 200 in FIGS.

当然のことながら、本明細書で示したXNOR200回路及び関連レイアウトは、出力インバータ217を取り除くことにより、XOR回路及び関連レイアウトに変換することができる。この変換された構成では、出力ノード207はノード205に相当し、出力Qと入力A及びBの間の関係は、XOR300の図3B〜図3Eの状態表に示されたものと同じになる。   Of course, the XNOR 200 circuit and associated layout shown herein can be converted to an XOR circuit and associated layout by removing the output inverter 217. In this converted configuration, output node 207 corresponds to node 205 and the relationship between output Q and inputs A and B is the same as that shown in the state tables of FIGS. 3B-3E of XOR 300.

さらに、図2A及び図2Fの実施例は、NMOSトランジスタ216のゲートが第2の入力インバータ211の出力に接続され、NMOSトランジスタ215のゲートが第1の入力インバータ210の出力に接続さるように画定されたプルダウンロジック270を示すが、当然のことながら、NMOSトランジスタ216及び215の積み重ねを逆にすることが可能である。とくに、一実施形態では、プルダウンロジック270は、NMOSトランジスタ216が第1の入力インバータ210の出力に接続され、NMOSトランジスタ215のゲートが第2の入力インバータ211の出力に接続されるように画定される。   Further, the embodiment of FIGS. 2A and 2F is defined such that the gate of NMOS transistor 216 is connected to the output of second input inverter 211 and the gate of NMOS transistor 215 is connected to the output of first input inverter 210. Although pulled down logic 270 is shown, it should be understood that the stacking of NMOS transistors 216 and 215 can be reversed. In particular, in one embodiment, pull-down logic 270 is defined such that NMOS transistor 216 is connected to the output of first input inverter 210 and the gate of NMOS transistor 215 is connected to the output of second input inverter 211. The

(制限的ゲートレベルレイアウトアーキテクチャ)
上記のように、本発明によるXOR300及びXNOR200回路は、半導体チップの一部の制限的ゲートレベルレイアウトアーキテクチャ内で実現される。ゲートレベルのために、多数の平行な仮想ラインが、レイアウトにわたって規定される。これらの平行な仮想ラインは、ゲート電極トラックと称され、それらは、レイアウト内の種々のトランジスタのゲート電極の配置の指標として使用される。一実施形態では、ゲート電極トラックを形成する平行な仮想ラインは、仕様のゲート電極ピッチに等しい、それらの間の垂直方向スペースによって規定される。したがって、ゲート電極トラック上のゲート電極セグメントの配置は、仕様のゲート電極ピッチに対応する。他の実施形態では、ゲート電極トラックは、仕様のゲート電極ピッチ以上の種々のピッチで離間される。
(Restrictive gate level layout architecture)
As described above, the XOR 300 and XNOR 200 circuits according to the present invention are implemented within a limited gate level layout architecture of a portion of a semiconductor chip. Because of the gate level, a number of parallel virtual lines are defined across the layout. These parallel virtual lines are referred to as gate electrode tracks and they are used as indicators of the placement of the gate electrodes of the various transistors in the layout. In one embodiment, the parallel virtual lines that form the gate electrode tracks are defined by a vertical space between them equal to the specified gate electrode pitch. Therefore, the arrangement of the gate electrode segments on the gate electrode track corresponds to the specified gate electrode pitch. In other embodiments, the gate electrode tracks are spaced at various pitches above the specified gate electrode pitch.

図4Aは、本発明の一実施形態による、制限的ゲートレベルレイアウトアーキテクチャ内で規定されたゲート電極トラック401A〜401Eの一例を示す。ゲート電極トラック401A〜401Eは、それらの間に、仕様のゲート電極ピッチ407に等しい垂直方向スペースを有して、チップのゲートレベルレイアウトにわたって延長した平行な仮想ラインによって形成されている。説明のため、図4Aに相補的な拡散領域403及び405が示されている。当然のことながら、拡散領域403及び405は、ゲートレベルの下の拡散レベルで規定される。また、当然のことながら、拡散領域403及び405は、例示として示されるものであって、制限的ゲートレベルレイアウトアーキテクチャに関連して拡散レベル内の拡散領域サイズ、形状、及び/又は配置が限定されるものではない。   FIG. 4A illustrates an example of gate electrode tracks 401A-401E defined within a restrictive gate level layout architecture, according to one embodiment of the invention. The gate electrode tracks 401A-401E are formed by parallel virtual lines extending across the gate level layout of the chip with a vertical space between them equal to the specified gate electrode pitch 407. For illustration purposes, complementary diffusion regions 403 and 405 are shown in FIG. 4A. Of course, the diffusion regions 403 and 405 are defined at a diffusion level below the gate level. It should also be appreciated that the diffusion regions 403 and 405 are shown by way of example and have limited diffusion region size, shape, and / or placement within the diffusion level in connection with the restrictive gate level layout architecture. It is not something.

制限的ゲートレベルレイアウトアーキテクチャ内で、ゲートレベルフィーチャーレイアウトチャンネルは、所定のゲート電極トラックに隣接するゲート電極トラック間で拡がるように、所定のゲート電極トラック近くで画定される。例えば、ゲートレベルフィーチャーレイアウトチャンネル401A−1〜401E−1は、それぞれ、ゲート電極トラック401A〜401Eの近くで画定される。当然のことながら、各ゲート電極トラックは、対応するゲートレベルフィーチャーレイアウトチャンネルを有する。また、規定されたレイアウトスペースのエッジに隣接して、例えばセル境界に隣接して配置されたゲート電極トラックのために、ゲートレベルフィーチャーレイアウトチャンネル401A−1〜401E−1によって例示されるように、あたかも規定のレイアウトスペースの外側に仮想ゲート電極トラックがあるかのように、対応するゲートレベルフィーチャーレイアウトチャンネルが拡がる。さらに、当然のことながら、各ゲートレベルフィーチャーレイアウトチャンネルは、その対応するゲート電極トラックの全体の長さに沿って延長するように画定される。したがって、各ゲートレベルフィーチャーレイアウトチャンネルは、ゲートレベルレイアウトが関連するチップの部分内でゲートレベルレイアウトにわたって拡がるように画定される。   Within the restrictive gate level layout architecture, a gate level feature layout channel is defined near a predetermined gate electrode track so as to extend between gate electrode tracks adjacent to the predetermined gate electrode track. For example, gate level feature layout channels 401A-1 to 401E-1 are defined near gate electrode tracks 401A to 401E, respectively. Of course, each gate electrode track has a corresponding gate level feature layout channel. Also, as exemplified by the gate level feature layout channels 401A-1 to 401E-1, for gate electrode tracks located adjacent to the edges of the defined layout space, eg, adjacent to cell boundaries, The corresponding gate level feature layout channel expands as if the virtual gate electrode track is outside the defined layout space. Further, it will be appreciated that each gate level feature layout channel is defined to extend along the entire length of its corresponding gate electrode track. Thus, each gate level feature layout channel is defined such that it extends across the gate level layout within the portion of the chip to which the gate level layout relates.

制限的ゲートレベルレイアウトアーキテクチャ内で、所定のゲート電極トラックに関連するゲートレベルフィーチャーは、その所定のゲート電極トラックに関連するゲートレベルフィーチャーレイアウトチャンネル内で画定される。連続的なゲートレベルフィーチャーは、トランジスタのゲート電極を画定する部分と、トランジスタのゲート電極を画定しない部分の両方を含むことが可能である。したがって、連続的なゲートレベルフィーチャーは、下層チップレベルの拡散領域及び誘電体領域の両方にわたって延長することが可能である。   Within the restrictive gate level layout architecture, the gate level features associated with a given gate electrode track are defined within the gate level feature layout channel associated with that given gate electrode track. The continuous gate level feature can include both a portion that defines the gate electrode of the transistor and a portion that does not define the gate electrode of the transistor. Thus, continuous gate level features can extend over both the underlying chip level diffusion and dielectric regions.

一実施形態において、トランジスタのゲート電極を形成するゲートレベルフィーチャーの各部分の実質的な中心が、所定のゲート電極トラック上にあるように配置される。さらに、この実施形態において、トランジスタのゲート電極を形成しないゲートレベルフィーチャーの部分は、所定のゲート電極トラックに関連するゲートレベルフィーチャーレイアウトチャンネル内に配置されることが可能である。したがって、所定のゲートレベルフィーチャーのゲート電極部分の中心が、所定のゲートレベルフィーチャーレイアウトチャンネルに対応するゲート電極トラック上にある限り、並びに、所定のゲートレベルフィーチャーが、隣接するゲートレベルレイアウトチャンネル内の他のゲートレベルフィーチャーに関するデザインルールのスペース要求に整合している限り、所定のゲートレベルフィーチャーは、所定のゲートレベルフィーチャーレイアウトチャンネル内の本質的にどこにでも画定されることが可能である。さらに、隣接するゲート電極トラックに関連するゲートレベルフィーチャーレイアウトチャンネル内で画定されるゲートレベルフィーチャー間で物理的なコンタクトが防止される。   In one embodiment, the substantial center of each portion of the gate level feature that forms the gate electrode of the transistor is positioned to be on a given gate electrode track. Further, in this embodiment, the portion of the gate level feature that does not form the gate electrode of the transistor can be placed in a gate level feature layout channel associated with a given gate electrode track. Thus, as long as the center of the gate electrode portion of a given gate level feature is on the gate electrode track corresponding to the given gate level feature layout channel, and as long as the given gate level feature is in the adjacent gate level layout channel A given gate level feature can be defined essentially anywhere within a given gate level feature layout channel as long as it matches the design rule space requirements for other gate level features. In addition, physical contact is prevented between gate level features defined in gate level feature layout channels associated with adjacent gate electrode tracks.

図4Bは、本発明の一実施形態による、そこで画定された多くの実例的なゲートレベルフィーチャー409〜423を有する図4Aの制限的ゲートレベルレイアウトアーキテクチャの一例を示す。ゲートレベルフィーチャー409は、ゲート電極トラック401Aに関連するゲートレベルフィーチャーレイアウトチャンネル401A−1内で画定される。
ゲートレベルフィーチャー409のゲート電極部分の実質的な中心は、ゲート電極トラック401A上にある。また、ゲートレベルフィーチャー409の非ゲート電極部分は、隣接ゲートレベルフィーチャーレイアウトチャンネル401B−1内で画定されたゲートレベルフィーチャー411及び413に対するデザインルールのスペース要求を維持する。
同様に、ゲートレベルフィーチャー411〜423は、それらの各ゲートレベルフィーチャーレイアウトチャンネル内で画定され、それらのゲート電極部分の実質的な中心が、それらの各ゲートレベルフィーチャーレイアウトチャンネルに対応するゲート電極トラック上にある。また、当然のことながら、ゲートレベルフィーチャー411〜423のそれぞれは、隣接ゲートレベルフィーチャーレイアウトチャンネル内で画定されたゲートレベルフィーチャーに対するデザインルールのスペース要求を維持し、隣接ゲートレベルフィーチャーレイアウトチャンネル内で画定された他のゲートレベルフィーチャーとの物理的なコンタクトを防止する。
FIG. 4B shows an example of the restrictive gate level layout architecture of FIG. 4A with many illustrative gate level features 409-423 defined therein, according to one embodiment of the invention. The gate level feature 409 is defined in the gate level feature layout channel 401A-1 associated with the gate electrode track 401A.
The substantial center of the gate electrode portion of the gate level feature 409 is on the gate electrode track 401A. Also, the non-gate electrode portion of the gate level feature 409 maintains the design rule space requirements for the gate level features 411 and 413 defined in the adjacent gate level feature layout channel 401B-1.
Similarly, the gate level features 411-423 are defined within their respective gate level feature layout channels, and the substantial center of their gate electrode portion is the gate electrode track corresponding to their respective gate level feature layout channel. It is above. It will also be appreciated that each of the gate level features 411-423 maintains the design rule space requirements for the gate level features defined in the adjacent gate level feature layout channel and is defined in the adjacent gate level feature layout channel. Prevent physical contact with other gate level features

ゲート電極は、拡散領域上を延長する各ゲートレベルフィーチャーの部分に対応し、各ゲートレベルフィーチャーは、全体として、ゲートレベルフィーチャーレイアウトチャンネル内で画定される。各ゲートレベルフィーチャーは、隣接ゲートレベルフィーチャーレイアウトチャンネル内で画定された他のゲートレベルフィーチャーと物理的に接触することなく、そのゲートレベルフィーチャーレイアウトチャンネル内で画定される。図4Bの例示的なゲートレベルフィーチャーレイアウトチャンネル401A−1〜401E−1によって示されるように、各ゲートレベルフィーチャーレイアウトチャンネルは、所定のゲート電極トラックに関連し、所定のゲート電極トラックに沿って、所定のゲート電極トラックから、隣接ゲート電極トラック又はレイアウト境界の外の仮想ゲート電極トラックのうち近い方へ、それぞれ反対方向に垂直外側に延長するレイアウト領域に対応する。   The gate electrode corresponds to a portion of each gate level feature that extends over the diffusion region, and each gate level feature is generally defined within a gate level feature layout channel. Each gate level feature is defined in its gate level feature layout channel without physically contacting other gate level features defined in adjacent gate level feature layout channels. As illustrated by the exemplary gate level feature layout channels 401A-1 through 401E-1 in FIG. 4B, each gate level feature layout channel is associated with a predetermined gate electrode track and along a predetermined gate electrode track. Corresponding to a layout region extending vertically outward in the opposite direction from a predetermined gate electrode track to an adjacent gate electrode track or a virtual gate electrode track outside the layout boundary.

いくつかのゲートレベルフィーチャーは、それらの長さに沿って多くの位置で画定された1つ又は2つ以上のコンタクトヘッド(contact head)部分を有しうる。所定のゲートレベルフィーチャーのコンタクトヘッド部分は、ゲートコンタクト構造を受け入れるのに十分なサイズの高さと幅を有するゲートレベルフィーチャーのセグメント(segment)として画定され、「幅」は、所定のゲートレベルフィーチャーのゲート電極トラックに対して垂直方向に基板全域で規定され、「高さ」は、所定のゲートレベルフィーチャーのゲート電極トラックに対して平行方向に基板全域で規定される。当然のことながら、ゲートレベルフィーチャーのコンタクトヘッドは、上方から見たとき、四角または矩形を含む本質的に任意のレイアウト形状によって画定されうる。また、レイアウト要求及び回路設計に応じて、ゲートレベルフィーチャーの所定のコンタクトヘッド部分は、その上で画定されるゲートコンタクトを有したり、有しなかったりする。   Some gate level features may have one or more contact head portions defined at a number of locations along their length. The contact head portion of a given gate level feature is defined as a segment of a gate level feature having a height and width sufficient to accept a gate contact structure, and the “width” The height is defined over the entire substrate in a direction parallel to the gate electrode track of a predetermined gate level feature. Of course, the gate level feature contact head may be defined by essentially any layout shape, including a square or a rectangle, when viewed from above. Also, depending on layout requirements and circuit design, a given contact head portion of the gate level feature may or may not have a gate contact defined thereon.

本明細書に記載された種々の実施形態のゲートレベルは、上述のように、制限的ゲートレベルとして画定される。ゲートレベルフィーチャーにいくつかは、トランジスタ素子のゲート電極を形成する。他のゲートレベルフィーチャーは、ゲートレベル内の2点間で延長する導電性セグメントを形成することができる。また、他のゲートレベルフィーチャーは、集積回路動作に関して非機能的でありうる。当然のことながら、ゲートレベルフィーチャーのそれぞれは、機能に関係なく、隣接するゲートレベルフィーチャーレイアウトチャンネルでもって画定される他のゲートレベルフィーチャーと物理的な接触をすることなく、それらの各ゲートレベルフィーチャーレイアウトチャンネル内のゲートレベル全域にわたって拡がるように画定される。   The gate levels of the various embodiments described herein are defined as restrictive gate levels, as described above. Some of the gate level features form the gate electrode of the transistor element. Other gate level features can form conductive segments that extend between two points in the gate level. Other gate level features may also be non-functional with respect to integrated circuit operation. Of course, each of the gate level features, regardless of function, will have their respective gate level features without physical contact with other gate level features defined by adjacent gate level feature layout channels. It is defined to extend across the entire gate level in the layout channel.

一実施形態において、ゲートレベルフィーチャーは、製造及び設計プロセスで正確に予測されて最適化された有限数の制御されたレイアウト形状間(シェイプツーシェイプ(shape-to-shape))のリソグラフィック相互作用を提供するように画定される。この実施形態において、ゲートレベルフィーチャーは、高確率で正確に予測して緩和することができないレイアウト内の逆のリソグラフィック相互作用を生成するかもしれないレイアウト形状間の空間的相互関係を防止するように画定される。しかし、当然のことながら、ゲートレベルレイアウトチャンネル内のゲートレベルフィーチャーの方向の変更は、対応するリソグラフィック相互作用が予測可能であり、管理可能であるとき、受け入れ可能である。   In one embodiment, the gate level feature is a lithographic interaction between a finite number of controlled layout shapes that are accurately predicted and optimized in the manufacturing and design process (shape-to-shape). Is defined to provide In this embodiment, the gate level feature prevents spatial correlation between layout shapes that may generate reverse lithographic interactions in the layout that cannot be accurately predicted and mitigated with high probability. Defined. However, it will be appreciated that changes in the orientation of gate level features within the gate level layout channel are acceptable when the corresponding lithographic interaction is predictable and manageable.

当然のことながら、ゲートレベルフィーチャーのそれぞれは、機能に関係なく、非ゲートレベルフィーチャーを使用することなく、異なるゲート電極トラックに沿って画定される他のゲートレベルフィーチャーにゲートレベル内で直接接続するように構成された所定のゲート電極トラックに沿ったゲートレベルフィーチャーがないように画定される。さらに、異なるゲート電極トラックに関連する異なるゲートレベルレイアウトチャンネル内に配置されたゲートレベルフィーチャー間の各接続は、1つ又は2つ以上の非ゲートレベルフィーチャーを介して行われるが、その非ゲートレベルフィーチャーは上位の相互接続レベル、すなわちゲートレベルの上の1つ又は2つ以上の相互接続レベルを介して、またはゲートレベルの下の局所的な相互接続フィーチャーにより、画定されうる。   Of course, each of the gate level features connect directly within the gate level to other gate level features defined along different gate electrode tracks, regardless of function, without using non-gate level features Defined to be free of gate level features along a predetermined gate electrode track configured in such a manner. In addition, each connection between gate level features located in different gate level layout channels associated with different gate electrode tracks is made through one or more non-gate level features, although the non-gate level A feature may be defined through a higher interconnect level, ie, one or more interconnect levels above the gate level, or by local interconnect features below the gate level.

当然のことながら、本明細書で開示されるXOR300及びXNOR200回路及びレイアウトは、コンピュータが読み取り可能な媒体上のデジタルフォーマットのような具体的な形態に格納することが可能である。例えば、本明細書で開示されるXOR300及び/又はXNOR200回路のレイアウトは、1つ又は2つ以上のセルライブラリから選択可能な1つ又は2つ以上のセルとして、レイアウトデータファイル内に格納することが可能である。レイアウトデータファイルは、GDS2(グラフィックデータシステム;Graphic Fata System)データベースファイル、OASIS(オープンアートワークシステムインターチャンジ標準;Open Artwork System Interchange Standard)データベースファイル、又は半導体素子レイアウトの格納及び通信に適した他の任意の種類のデータファイルフォーマットとしてフォーマットすることができる。また、XOR300及び/又はXNOR200回路のマルチレベルレイアウトは、より大きい半導体素子のマルチレベルレイアウトの内に含まれることも可能である。より大きい半導体素子のマルチレベルレイアウトもまた、上記のようなレイアウトデータファイルの形態内に格納されることも可能である。   Of course, the XOR 300 and XNOR 200 circuits and layouts disclosed herein can be stored in a specific form such as a digital format on a computer readable medium. For example, the layout of the XOR 300 and / or XNOR 200 circuit disclosed herein may be stored in a layout data file as one or more cells selectable from one or more cell libraries. Is possible. The layout data file may be a GDS2 (Graphic Data System) database file, an OASIS (Open Artwork System Interchange Standard) database file, or other suitable for storing and communicating semiconductor device layouts It can be formatted as any kind of data file format. Also, the multi-level layout of the XOR 300 and / or XNOR 200 circuit can be included within the multi-level layout of a larger semiconductor device. Multi-level layouts of larger semiconductor devices can also be stored in the form of layout data files as described above.

また、本明細書に記載された発明は、コンピュータが読み取り可能な媒体上のコンピュータが読み取り可能なコードとして具現化されることも可能である。例えば、コンピュータが読み取り可能なコードは、XOR300及び/又はXNOR200回路レイアウトが格納されるレイアウトデータファイルを含むことが可能である。また、コンピュータが読み取り可能なコードは、XOR300及び/又はXNOR200回路レイアウトを含む1つ又は2つ以上のレイアウトライブラリ及び/又はセルを選択するためのプログラム命令を含むことが可能である。また、レイアウトライブラリ及び/又はセルは、コンピュータが読み取り可能な媒体上のデジタルフォーマット内に格納されることが可能である。   The invention described in the present specification can also be embodied as computer readable code on a computer readable medium. For example, the computer readable code may include a layout data file in which the XOR 300 and / or XNOR 200 circuit layout is stored. The computer readable code may also include one or more layout libraries including XOR 300 and / or XNOR 200 circuit layouts and / or program instructions for selecting cells. Also, the layout library and / or cells can be stored in a digital format on a computer readable medium.

本明細書で述べたコンピュータが読み取り可能な媒体は、データを格納することができ、コンピュータシステムによって後で読み出すことができる任意のデータ記憶デバイスである。コンピュータが読み取り可能な媒体の例は、ハードドライブ、ネットワークアタッチトストレージ(NAS;network attached storage)、読み出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)CD−ROM、CD−R、磁気テープ、及び他の光学的及び否光学的データ格納デバイスを含む。コンピュータが読み取り可能な媒体は、また、コンピュータが読み取り可能なコードが分散して格納されて実行されるように、接続コンピュータシステムのネットワーク上に分散されることが可能である。   The computer readable medium described herein is any data storage device that can store data, which can be thereafter read by a computer system. Examples of computer readable media include hard drives, network attached storage (NAS), read only memory (ROM), random access memory (RAM) CD-ROM, CD-R, magnetic tape, and Includes other optical and non-optical data storage devices. The computer readable medium can also be distributed over a network of connected computer systems so that the computer readable code is stored and executed in a distributed fashion.

本発明の部分を形成する本明細書で述べたすべてのオペレーションは、有用なマシーンオペレーションである。本発明は、また、これらのオペレーションを実現するためのデバイス又は装置に関する。装置は、特に、特定目的のコンピュータのような所定の目的のために構成されうる。特定目的のコンピュータとして定義されたとき、そのコンピュータは、また、特定目的の部分ではない他の処理、プログラム実行又はルーティーンを実行することができるが、さらに、特定目的のためのオペレーションも可能である。または、そのオペレーションは、コンピュータメモリ、キャッシュに格納された、またはネットワークを介して取得した1つ又は2つ以上のコンピュータプログラムによって選択的に起動され又は構成された一般目的のコンピュータによって処理されうる。ネットワークを介してデータが取得されたとき、そのデータは、ネットワーク上の他のコンピュータ、例えばコンピュータ資源のクラウド、によって処理されるかもしれない。   All the operations described herein that form part of the present invention are useful machine operations. The invention also relates to a device or apparatus for implementing these operations. The device may be configured for a predetermined purpose, such as a special purpose computer in particular. When defined as a special purpose computer, that computer can also perform other processing, program execution or routines that are not part of the special purpose, but can also perform special purpose operations. is there. Alternatively, the operations may be processed by a general purpose computer selectively activated or configured by one or more computer programs stored in computer memory, cache, or acquired over a network. When data is acquired over a network, the data may be processed by other computers on the network, such as a cloud of computer resources.

本発明の実施形態は、また、1つの状態から他の状態へ変換するマシーンとして画定することができる。そのデータは、電子信号及び電子的な処理データとして表現することができるアーティクルを表しうる。変換されたデータは、場合によっては、データの変換の結果として生ずる物理的なオブジェクトを表示し、ディスプレイ上に視覚的に描写されることが可能である。変換されたデータは、物理的及び具体的なオブジェクトの構築又は描写を可能にする一般的又は特定のフォーマットで、記憶装置に保存することが可能である。このような例において、プロセッサは、このようにして、1つの物から他の物へデータを変換する。さらに、その方法は、ネットワークを介して接続された1つ又2つ以上のマシーン又はプロセッサによって処理されうる。各マシーンは、1つの状態又は物から他の状態又は物へデータを変換することができ、また、データを処理することができ、データを記憶装置に保存することができ、ネットワークを介してデータを伝送することができ、結果を表示することができ、またはその結果を他のマシーンに通信することができる。   Embodiments of the present invention can also be defined as machines that convert from one state to another. The data may represent articles that can be expressed as electronic signals and electronic processing data. The transformed data can in some cases be visually depicted on a display, displaying the physical objects that result from the transformation of the data. The transformed data can be stored in a storage device in a general or specific format that allows the construction or depiction of physical and specific objects. In such an example, the processor thus converts data from one thing to another. Furthermore, the method can be processed by one or more machines or processors connected via a network. Each machine can convert data from one state or thing to another state or thing, can process the data, can store the data in a storage device, Can be transmitted, the results can be displayed, or the results can be communicated to other machines.

当然のことながら、本明細書で開示されたXOR300及びXNOR200回路及びレイアウトは、半導体デバイス又はチップの部分として製造することが可能である。集積回路、メモリセルなどのような半導体デバイスの製造において、一連の製造オペレーションは、半導体ウェハ上にフィーチャーを画定するように実施される。そのウェハは、シリコン基板上で画定されるマルチレベル構造の形態の集積回路デバイスを含む。基板レベルにおいて、拡散領域を有するトランジスタ素子が形成される。次のレベルにおいて、相互接続金属化ラインがパターニングされてトランジスタ素子に電気的に接続され、所望の集積回路デバイスを画定する。また、パターニングされた導電層は、誘電体材料によって、他の導電層から絶縁される。   Of course, the XOR 300 and XNOR 200 circuits and layouts disclosed herein can be manufactured as part of a semiconductor device or chip. In the manufacture of semiconductor devices such as integrated circuits, memory cells, etc., a series of manufacturing operations are performed to define features on a semiconductor wafer. The wafer includes integrated circuit devices in the form of multilevel structures defined on a silicon substrate. At the substrate level, a transistor element having a diffusion region is formed. At the next level, interconnect metallization lines are patterned and electrically connected to the transistor elements to define the desired integrated circuit device. The patterned conductive layer is insulated from other conductive layers by a dielectric material.

いくつかの実施形態に関して本発明が説明されてきたが、当然のことながら、前記の明細書を読み、図面を検討した当業者は、種々の改変、追加、置換及びそれの等価物を具現化するであろう。したがって、本発明は、本発明の真の精神及び範囲内に含まれるものとして、このような改変、追加、置換及びそれの等価物のすべてを含むことが意図される。   While the invention has been described in terms of several embodiments, it will be appreciated that those skilled in the art after reading the foregoing specification and studying the drawings embody various modifications, additions, substitutions and equivalents thereof. Will do. Accordingly, the present invention is intended to embrace all such alterations, additions, substitutions and equivalents as included within the true spirit and scope of the present invention.

200 XNOR(排他的否定論理和ロジック回路)
250,350 伝送ゲート
260,360 パスゲート
270 プルダウンロジック
300 XOR(排他的論理和ロジック回路)
370 プルアップロジック
200 XNOR (Exclusive NAND circuit)
250, 350 Transmission gate 260, 360 Pass gate 270 Pull-down logic 300 XOR (exclusive OR logic circuit)
370 Pull-up logic

Claims (16)

排他的論理和ロジック回路レイアウトであって、
6つのPMOSトランジスタと、
5つのNMOSトランジスタと、を有し、
前記5つのNMOSトランジスタは、それぞれ、前記6つのPMOSトランジスタのうちの5つとペアになっており、NMOS及びPMOSトランジスタの各ペアは、5つのゲート電極トラックの各1つに沿って配置された連続的なゲート電極構造を共有するように画定され、
前記6つのPMOSトランジスタの6番目は、6番目のゲート電極トラックに沿って配置されたゲート電極構造によって画定され、前記6番目のPMOSトランジスタは、前記6番目のゲート電極トラックを、前記排他的論理和ロジック回路レイアウト内の他のトランジスタと共有せず、
前記6つのゲート電極トラックは、お互いに平行に配向している、ことを特徴とする排他的論理和ロジック回路レイアウト。
An exclusive OR logic circuit layout,
6 PMOS transistors,
5 NMOS transistors,
Each of the five NMOS transistors is paired with five of the six PMOS transistors, and each pair of NMOS and PMOS transistors is a series arranged along each one of the five gate electrode tracks. Defined to share a common gate electrode structure,
The sixth of the six PMOS transistors is defined by a gate electrode structure disposed along a sixth gate electrode track, and the sixth PMOS transistor defines the sixth gate electrode track as the exclusive logic. Do not share with other transistors in the sum logic circuit layout,
6. The exclusive OR logic circuit layout, wherein the six gate electrode tracks are oriented parallel to each other.
請求項1記載の排他的論理和ロジック回路レイアウトにおいて、
前記排他的論理和ロジック回路レイアウトは、間にエンドツーエンドスペースを有する同一線上に配置されたゲート電極がない、ことを特徴とする排他的論理和ロジック回路レイアウト。
The exclusive OR logic circuit layout according to claim 1,
The exclusive OR logic circuit layout is characterized in that there are no gate electrodes arranged on the same line having an end-to-end space between them.
請求項1記載の排他的論理和ロジック回路レイアウトにおいて、
各ゲート電極構造は、製図された状態で見たときに矩形の断面を有するように画定される、ことを特徴とする排他的論理和ロジック回路レイアウト。
The exclusive OR logic circuit layout according to claim 1,
An exclusive OR logic circuit layout, wherein each gate electrode structure is defined to have a rectangular cross-section when viewed in a drafted state.
請求項1記載の排他的論理和ロジック回路レイアウトにおいて、
前記6つのゲート電極トラックは均等に離間されている、ことを特徴とする排他的論理和ロジック回路レイアウト。
The exclusive OR logic circuit layout according to claim 1,
An exclusive OR logic circuit layout, wherein the six gate electrode tracks are evenly spaced.
請求項1記載の排他的論理和ロジック回路レイアウトにおいて、
前記排他的論理和ロジック回路レイアウトは、コンピュータが読み取り可能な媒体上のデジタルフォーマットに記録される、ことを特徴とする排他的論理和ロジック回路レイアウト。
The exclusive OR logic circuit layout according to claim 1,
The exclusive OR logic circuit layout is recorded in a digital format on a computer readable medium, wherein the exclusive OR logic circuit layout is recorded.
請求項5記載の排他的論理和ロジック回路レイアウトにおいて、
前記デジタルフォーマットは、1つ又は2つ以上の半導体デバイスレイアウトを格納して通信するためのデータファイルフォーマットである、ことを特徴とする排他的論理和ロジック回路レイアウト。
The exclusive OR logic circuit layout according to claim 5,
The exclusive OR logic circuit layout, wherein the digital format is a data file format for storing and communicating one or more semiconductor device layouts.
請求項5記載の排他的論理和ロジック回路レイアウトにおいて、
前記コンピュータが読み取り可能な媒体は、前記コンピュータが読み取り可能な媒体から前記デジタルフォーマット内の前記排他的論理和ロジック回路レイアウトをアクセスして取り出すためのプログラム命令を含む、ことを特徴とする排他的論理和ロジック回路レイアウト。
The exclusive OR logic circuit layout according to claim 5,
The computer readable medium includes program instructions for accessing and retrieving the exclusive OR logic circuit layout in the digital format from the computer readable medium. Sum logic circuit layout.
請求項7記載の排他的論理和ロジック回路レイアウトにおいて、
アクセスして取り出すための前記プログラム命令は、前記デジタルフォーマット内の前記排他的論理和ロジック回路レイアウトを含む、ライブラリ、セル、又はライブラリ及びセルの両方を選択するためのプログラム命令を含む、ことを特徴とする排他的論理和ロジック回路レイアウト。
The exclusive OR logic circuit layout according to claim 7,
The program instructions for accessing and retrieving include program instructions for selecting a library, cell, or both library and cell, including the exclusive OR logic circuit layout in the digital format. An exclusive OR logic circuit layout.
排他的否定論理和ロジック回路レイアウトであって、
5つのPMOSトランジスタと、
6つのNMOSトランジスタと、を有し、
前記5つのPMOSトランジスタは、それぞれ、前記6つのNMOSトランジスタのうちの5つとペアになっており、
PMOS及びNMOSトランジスタの各ペアは、5つのゲート電極トラックの各1つに沿って配置された連続的なゲート電極構造を共有するように画定され、
前記6つのNMOSトランジスタの6番目は、6番目のゲート電極トラックに沿って配置されたゲート電極構造によって画定され、前記6番目のNMOSトランジスタは、前記6番目のゲート電極トラックを、前記排他的否定論理和ロジック回路レイアウト内の他のトランジスタと共有せず、
前記6つのゲート電極トラックは、お互いに平行に配向している、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
An exclusive logical OR logic circuit layout,
5 PMOS transistors,
6 NMOS transistors,
Each of the five PMOS transistors is paired with five of the six NMOS transistors,
Each pair of PMOS and NMOS transistors is defined to share a continuous gate electrode structure disposed along each one of the five gate electrode tracks;
The sixth of the six NMOS transistors is defined by a gate electrode structure disposed along a sixth gate electrode track, and the sixth NMOS transistor rejects the sixth gate electrode track with the exclusive negation. Do not share with other transistors in the logical OR logic circuit layout,
6. The exclusive OR logic circuit layout, wherein the six gate electrode tracks are oriented parallel to each other.
請求項9記載の排他的否定論理和ロジック回路レイアウトにおいて、
前記排他的否定論理和ロジック回路レイアウトは、間にエンドツーエンドスペースを有する同一線上に配置されたゲート電極がない、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
The exclusive NOR logic circuit layout according to claim 9,
The exclusive NOR logic circuit layout has no gate electrode arranged on the same line having an end-to-end space between them.
請求項9記載の排他的否定論理和ロジック回路レイアウトにおいて、
各ゲート電極構造は、製図された状態で見たときに矩形の断面を有するように画定される、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
The exclusive NOR logic circuit layout according to claim 9,
An exclusive NOR logic circuit layout, wherein each gate electrode structure is defined to have a rectangular cross-section when viewed in a drafted state.
請求項9記載の排他的否定論理和ロジック回路レイアウトにおいて、
前記6つのゲート電極トラックは均等に離間されている、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
The exclusive NOR logic circuit layout according to claim 9,
6. An exclusive NOR logic circuit layout wherein the six gate electrode tracks are evenly spaced.
請求項9記載の排他的否定論理和ロジック回路レイアウトにおいて、
前記排他的否定論理和ロジック回路レイアウトは、コンピュータが読み取り可能な媒体上のデジタルフォーマットに記録される、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
The exclusive NOR logic circuit layout according to claim 9,
The exclusive-NOR logic circuit layout is recorded in a digital format on a computer-readable medium, wherein the exclusive-NOR logic circuit layout is recorded.
請求項13記載の排他的否定論理和ロジック回路レイアウトにおいて、
前記デジタルフォーマットは、1つ又は2つ以上の半導体デバイスレイアウトを格納して通信するためのデータファイルフォーマットである、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
The exclusive NOT logic circuit layout according to claim 13,
The exclusive logical OR logic circuit layout, wherein the digital format is a data file format for storing and communicating one or more semiconductor device layouts.
請求項13記載の排他的否定論理和ロジック回路レイアウトにおいて、
前記コンピュータが読み取り可能な媒体は、前記コンピュータが読み取り可能な媒体から前記デジタルフォーマット内の前記排他的否定論理和ロジック回路レイアウトをアクセスして取り出すためのプログラム命令を含む、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
The exclusive NOT logic circuit layout according to claim 13,
The computer readable medium includes program instructions for accessing and retrieving the exclusive NOR logic circuit layout in the digital format from the computer readable medium. NOT OR logic circuit layout.
請求項15記載の排他的否定論理和ロジック回路レイアウトにおいて、
アクセスして取り出すための前記プログラム命令は、前記デジタルフォーマット内の前記排他的否定論理和ロジック回路レイアウトを含む、ライブラリ、セル、又はライブラリ及びセルの両方を選択するためのプログラム命令を含む、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
The exclusive-NOR logic circuit layout according to claim 15,
The program instructions for accessing and retrieving include program instructions for selecting a library, cell, or both library and cell, including the exclusive-or logic circuit layout in the digital format; Exclusive exclusive OR logic circuit layout.
JP2014166479A 2009-04-30 2014-08-19 XOR and XNOR logic circuit and layout Expired - Fee Related JP5870433B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17440809P 2009-04-30 2009-04-30
US61/174,408 2009-04-30
US12/435,672 US8653857B2 (en) 2006-03-09 2009-05-05 Circuitry and layouts for XOR and XNOR logic
US12/435,672 2009-05-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012508529A Division JP5926175B2 (en) 2009-04-30 2010-04-19 XOR and XNOR logic circuit and layout

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015228586A Division JP6095752B2 (en) 2009-04-30 2015-11-24 XOR and XNOR logic circuit and layout

Publications (2)

Publication Number Publication Date
JP2014222951A JP2014222951A (en) 2014-11-27
JP5870433B2 true JP5870433B2 (en) 2016-03-01

Family

ID=43029928

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2012508529A Expired - Fee Related JP5926175B2 (en) 2009-04-30 2010-04-19 XOR and XNOR logic circuit and layout
JP2014166479A Expired - Fee Related JP5870433B2 (en) 2009-04-30 2014-08-19 XOR and XNOR logic circuit and layout
JP2015228586A Expired - Fee Related JP6095752B2 (en) 2009-04-30 2015-11-24 XOR and XNOR logic circuit and layout
JP2016242003A Pending JP2017069981A (en) 2009-04-30 2016-12-14 Circuitry and layout for xor and xnor logic

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012508529A Expired - Fee Related JP5926175B2 (en) 2009-04-30 2010-04-19 XOR and XNOR logic circuit and layout

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2015228586A Expired - Fee Related JP6095752B2 (en) 2009-04-30 2015-11-24 XOR and XNOR logic circuit and layout
JP2016242003A Pending JP2017069981A (en) 2009-04-30 2016-12-14 Circuitry and layout for xor and xnor logic

Country Status (9)

Country Link
US (3) US8653857B2 (en)
EP (3) EP2425531B1 (en)
JP (4) JP5926175B2 (en)
KR (5) KR101717456B1 (en)
ES (2) ES2565440T3 (en)
MY (2) MY183545A (en)
SG (2) SG10201401689SA (en)
TW (5) TWI621959B (en)
WO (1) WO2010126738A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016054529A (en) * 2009-04-30 2016-04-14 テラ イノヴェイションズ インコーポレイテッド Circuitry and layout for xor and xnor logic

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
KR20130113085A (en) * 2012-04-05 2013-10-15 에스케이하이닉스 주식회사 Exclusive or circuit
TWI588596B (en) * 2013-08-15 2017-06-21 聯華電子股份有限公司 Method for generating layout pattern
TWI720077B (en) * 2016-12-07 2021-03-01 聯華電子股份有限公司 Layout of semiconductor device
KR20180069465A (en) * 2016-12-15 2018-06-25 삼성전자주식회사 Integrated circuit having vertical transistor and semiconductor device including the same
US10699778B2 (en) 2017-04-28 2020-06-30 Arizona Board Of Regents On Behalf Of Arizona State University Static random access memory (SRAM) cell and related SRAM array for deep neural network and machine learning applications
US11144316B1 (en) 2018-04-17 2021-10-12 Ali Tasdighi Far Current-mode mixed-signal SRAM based compute-in-memory for low power machine learning
US10613829B2 (en) * 2018-05-17 2020-04-07 Qualcomm Incorporated Performance power optimized full adder
US11562953B2 (en) * 2018-10-23 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Cell having stacked pick-up region
US10756753B2 (en) * 2018-10-25 2020-08-25 Arm Limited Data compressor logic circuit
CN109639267B (en) * 2018-11-26 2023-04-07 宁波大学 Method for optimizing number of inverters in XOR-majority logic diagram
US10915298B1 (en) 2019-10-08 2021-02-09 Ali Tasdighi Far Current mode multiply-accumulate for compute in memory binarized neural networks
US11152942B2 (en) * 2019-11-29 2021-10-19 Samsung Electronics Co., Ltd. Three-input exclusive NOR/OR gate using a CMOS circuit
KR102221585B1 (en) 2019-12-26 2021-03-02 강원대학교산학협력단 Circuit for xor-xnor logic
US11615256B1 (en) 2019-12-30 2023-03-28 Ali Tasdighi Far Hybrid accumulation method in multiply-accumulate for machine learning
US11610104B1 (en) 2019-12-30 2023-03-21 Ali Tasdighi Far Asynchronous analog accelerator for fully connected artificial neural networks
US20230308099A1 (en) * 2022-03-28 2023-09-28 Mediatek Inc. Buffer circuits and semiconductor structures thereof

Family Cites Families (721)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US424460A (en) * 1890-04-01 Fire-escape
US3521242A (en) 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
JPS5219058A (en) * 1975-08-04 1977-01-14 Nippon Telegr & Teleph Corp <Ntt> Exclusive logical sum circuit
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) * 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5943824B2 (en) 1982-03-03 1984-10-24 三菱電機株式会社 Semiconductor integrated circuit device
JPS58182242A (en) 1982-04-19 1983-10-25 Nec Corp Semiconductor integrated circuit device
JPS58215827A (en) 1982-06-09 1983-12-15 Toshiba Corp Logical circuit
JPS5943548A (en) 1982-09-06 1984-03-10 Hitachi Ltd Semiconductor integrated circuit device
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (en) 1983-07-29 1985-02-23 Fujitsu Ltd Master slice integrated circuit device
US4575648A (en) * 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
JPS60206222A (en) * 1984-03-29 1985-10-17 Toshiba Corp Exclusive or circuit
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (en) 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 Semiconductor integrated circuit and its manufacturing method
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (en) 1985-02-07 1994-11-24 三菱電機株式会社 Semiconductor integrated circuit device
JPS61202451A (en) 1985-03-05 1986-09-08 Nec Corp Wiring structure of semiconductor integrated circuit
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4804636A (en) * 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
US4627152A (en) 1985-05-24 1986-12-09 International Business Machines Corporation Automatic layout for cascode voltage switch logic
JPS6247148A (en) 1985-08-27 1987-02-28 Toshiba Corp Semiconductor integrated circuit device
JPS62169472A (en) 1986-01-22 1987-07-25 Hitachi Ltd Semiconductor integrated circuit device
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) * 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (en) 1987-06-12 1998-04-22 日本電信電話株式会社 Complementary MIS master slice logic integrated circuit
JPH067345B2 (en) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 Speech recognition method using vector quantization
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (en) 1987-09-19 1999-08-02 가나이 쓰도무 Semiconductor integrated circuit device and manufacturing method thereof, wiring board of semiconductor integrated circuit device and manufacturing method thereof
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
JPH01112818A (en) * 1987-10-26 1989-05-01 Matsushita Electric Ind Co Ltd Logic circuit
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPH01284115A (en) * 1988-05-11 1989-11-15 Sharp Corp Logical circuit
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (en) 1989-01-27 1990-08-06 Hitachi Ltd Method of forming wiring and semiconductor device utilizing same
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JP2551845B2 (en) * 1989-05-20 1996-11-06 松下電器産業株式会社 Exclusive OR circuit
JPH03165061A (en) 1989-11-22 1991-07-17 Hitachi Ltd Semiconductor integrated circuit device
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (en) 1990-02-09 1999-06-15 가나이 쓰도무 Semiconductor integrated circuit device
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5079614A (en) * 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (en) 1990-10-18 2000-03-13 三菱電機株式会社 Layout design method for semiconductor integrated circuit device
JP2851447B2 (en) 1991-03-08 1999-01-27 三菱電機株式会社 Shape simulation method
JPH04341010A (en) * 1991-05-17 1992-11-27 Nec Corp Logic circuit
JPH05152937A (en) 1991-11-26 1993-06-18 Hitachi Ltd Logic gate circuit
JP3129336B2 (en) 1991-12-09 2001-01-29 沖電気工業株式会社 Semiconductor storage device
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (en) * 1992-01-20 1998-05-28 日本電気株式会社 Logic circuit
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (en) 1992-02-04 1993-08-27 Sharp Corp Basic cells of gate array
JPH06216251A (en) * 1992-10-20 1994-08-05 Fujitsu Ltd Semiconductor circuit
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
IT1257184B (en) 1992-12-22 1996-01-10 Applied Research Systems PREPARED FOR ANTI-INFLAMMATORY, ANTI-AGULANT AND ANTI-TUMORAL ACTIVITY
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
US5723908A (en) 1993-03-11 1998-03-03 Kabushiki Kaisha Toshiba Multilayer wiring structure
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (en) 1993-10-29 2002-05-27 三菱電機株式会社 Semiconductor storage device
JP3144967B2 (en) 1993-11-08 2001-03-12 株式会社日立製作所 Semiconductor integrated circuit and method of manufacturing the same
JP2746087B2 (en) 1993-12-01 1998-04-28 日本電気株式会社 Semiconductor integrated circuit
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (en) 1993-12-24 1997-12-03 日本電気株式会社 Semiconductor memory device and manufacturing method thereof
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5378649A (en) * 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (en) 1994-05-02 2003-11-05 Necトーキン株式会社 Method for producing magnetic garnet oxide powder and method for producing magnetic garnet oxide film
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (en) 1994-05-27 1997-02-01 Hitachi Ltd
JP3202490B2 (en) 1994-07-22 2001-08-27 株式会社東芝 Integrated circuit layout method and integrated circuit layout device
JP3469362B2 (en) 1994-08-31 2003-11-25 株式会社東芝 Semiconductor storage device
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
JPH08139591A (en) * 1994-11-10 1996-05-31 Olympus Optical Co Ltd Logic circuit device
US5852562A (en) 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
JPH08292938A (en) 1995-02-24 1996-11-05 Fujitsu Ltd Method and device for finite element mesh generation and method and device for analysis
JP2647045B2 (en) 1995-02-28 1997-08-27 日本電気株式会社 Semiconductor memory device and method of manufacturing the same
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (en) 1995-06-13 2005-10-19 富士通株式会社 Delay device
JP3535615B2 (en) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ Semiconductor integrated circuit device
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0993118A (en) * 1995-09-22 1997-04-04 Kawasaki Steel Corp Path transistor logic circuit
JPH0997885A (en) 1995-09-28 1997-04-08 Denso Corp Gate array
US5973369A (en) 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (en) 1995-11-21 2003-04-28 沖電気工業株式会社 Semiconductor device
JP3486725B2 (en) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ Variable logic integrated circuit
JP3934719B2 (en) 1995-12-22 2007-06-20 株式会社東芝 Optical proximity correction method
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (en) 1996-01-31 1999-11-15 포만 제프리 엘 Integrated circuit chip having gate array book personalization using local interconnect
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) * 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (en) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd Data convesion processor
JPH09289251A (en) 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd Layout structure of semiconductor integrated circuit and its verification method
JP2914292B2 (en) 1996-04-25 1999-06-28 日本電気株式会社 Semiconductor device
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (en) 1996-06-03 1998-10-08 日本電気株式会社 Method for manufacturing semiconductor device
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) * 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (en) * 1996-07-15 2002-08-05 株式会社東芝 Basic cell library and method of forming the same
JP2918101B2 (en) 1996-07-25 1999-07-12 日本電気株式会社 Layout method of semiconductor integrated circuit
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
JP3152635B2 (en) 1996-09-09 2001-04-03 三洋電機株式会社 Master slice type basic cell, semiconductor integrated circuit device, flip-flop circuit, exclusive OR circuit, multiplexer and adder
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (en) 1996-10-09 2004-05-24 株式会社東芝 Semiconductor integrated circuit re-layout method and medium recording semiconductor integrated circuit re-layout program
US6209123B1 (en) 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (en) 1996-12-19 2004-04-26 株式会社東芝 Semiconductor storage device
JP3352895B2 (en) 1996-12-25 2002-12-03 株式会社東芝 Semiconductor integrated circuit, method of designing and manufacturing semiconductor integrated circuit
JP3420694B2 (en) 1996-12-27 2003-06-30 株式会社東芝 Standard cell integrated circuit
JPH10189746A (en) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Wiring layout method for lsi logic circuit
JP3036588B2 (en) 1997-02-03 2000-04-24 日本電気株式会社 Semiconductor storage device
JP3180700B2 (en) 1997-02-03 2001-06-25 日本電気株式会社 Semiconductor integrated circuit device
JP3352349B2 (en) 1997-02-24 2002-12-03 シャープ株式会社 Bidirectional thyristor element
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US6393601B1 (en) 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
US5880991A (en) 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
JP3178799B2 (en) * 1997-04-18 2001-06-25 シャープ株式会社 MOS logic circuit and semiconductor device provided with the MOS logic circuit
KR100227621B1 (en) 1997-05-22 1999-11-01 김영환 Method for manufacturing transistor of semiconductor device
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
DE69727581D1 (en) 1997-11-28 2004-03-18 St Microelectronics Srl RAM memory cell with low power consumption
JP3701781B2 (en) * 1997-11-28 2005-10-05 株式会社ルネサステクノロジ Logic circuit and its creation method
JP3926011B2 (en) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ Semiconductor device design method
JP3777768B2 (en) 1997-12-26 2006-05-24 株式会社日立製作所 Semiconductor integrated circuit device, storage medium storing cell library, and method of designing semiconductor integrated circuit
KR100278273B1 (en) 1997-12-30 2001-02-01 김영환 A method for forming contact holes in semiconductor device
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (en) 1998-01-29 1999-08-06 Mitsubishi Electric Corp Semiconductor device
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6378110B1 (en) 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
JPH11297856A (en) 1998-04-16 1999-10-29 Mitsubishi Electric Corp Static semiconductor memory
US5915199A (en) 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6714903B1 (en) 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) * 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
JP3562975B2 (en) 1998-09-29 2004-09-08 株式会社東芝 Integrated circuit design method and integrated circuit design device
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (en) * 1998-10-05 2000-04-21 Toshiba Corp Semiconductor device and its manufacture
JP3852729B2 (en) 1998-10-27 2006-12-06 富士通株式会社 Semiconductor memory device
US6174742B1 (en) * 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (en) 1998-11-10 2005-08-10 株式会社日立製作所 Semiconductor integrated circuit
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
AU1913500A (en) 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (en) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 Semiconductor integrated circuit device, semiconductor integrated circuit device design method, and recording medium
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
WO2000036466A1 (en) 1998-12-11 2000-06-22 Hitachi, Ltd. Semiconductor integrated circuit device and method of manufacturing the same
KR100291384B1 (en) 1998-12-31 2001-07-12 윤종용 Layout method of semiconductor device
US6040991A (en) 1999-01-04 2000-03-21 International Business Machines Corporation SRAM memory cell having reduced surface area
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6691297B1 (en) * 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6194912B1 (en) * 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6044007A (en) 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (en) 1999-04-16 2007-10-03 株式会社日立製作所 Semiconductor integrated circuit device
US6505328B1 (en) * 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (en) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 Semiconductor device
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) * 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (en) 1999-08-20 2001-02-27 Casio Comput Co Ltd Liquid crystal display device
JP2001068558A (en) 1999-08-30 2001-03-16 Hitachi Ltd Semiconductor integrated circuit device
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) * 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
WO2001037345A1 (en) 1999-11-17 2001-05-25 Aeroflex Utmc Microelectronic Systems Inc. Radiation resistant integrated circuit design
AU1770301A (en) 1999-11-18 2001-05-30 Pdf Solutions, Inc. System and method for product yield prediction using device and process neighborhood characterization vehicle
JP2001144603A (en) * 1999-11-18 2001-05-25 Oki Micro Design Co Ltd Level shifter circuit and data output circuit including it
JP2001168707A (en) * 1999-12-03 2001-06-22 Sony Corp Logic circuit and full adder using the same
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
KR100346832B1 (en) 2000-01-12 2002-08-03 삼성전자 주식회사 Static random access memory device and manufacturing method thereof
US6737199B1 (en) 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (en) 2000-03-24 2001-10-05 Railway Technical Res Inst System and method for measuring amount of relative displacement
US6356112B1 (en) 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
US6624459B1 (en) 2000-04-12 2003-09-23 International Business Machines Corp. Silicon on insulator field effect transistors having shared body contact
JP2001306641A (en) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd Automatic arranging and wiring method for semiconductor integrated circuit
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
JP4885365B2 (en) * 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 Semiconductor device
US6509952B1 (en) * 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (en) 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> Semiconductor integrated circuit device
JP2002009160A (en) 2000-06-26 2002-01-11 Nec Microsystems Ltd Automatic layout method of semiconductor integrated circuit, semiconductor integrated circuit manufactured by the method and recording medium recording the method
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US7028285B2 (en) 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6516459B1 (en) * 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
JP4794030B2 (en) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 Semiconductor device
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4357101B2 (en) 2000-08-23 2009-11-04 株式会社ルネサステクノロジ Semiconductor memory device
JP4764987B2 (en) 2000-09-05 2011-09-07 富士電機株式会社 Super junction semiconductor device
WO2002025373A2 (en) 2000-09-13 2002-03-28 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (en) 2000-10-31 2002-05-09 박종섭 Method of manufacturing sram cell
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (en) 2000-12-18 2002-06-28 Mitsubishi Electric Corp Static semiconductor storage device
KR100355036B1 (en) 2000-12-22 2002-10-05 삼성전자 주식회사 Layout method of cross-coupled transistor pair
US6992394B2 (en) * 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (en) 2001-01-22 2002-10-04 Nec Corp Semiconductor memory and its manufacturing method
JP2002252161A (en) 2001-02-23 2002-09-06 Hitachi Ltd Semiconductor manufacturing system
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (en) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 Semiconductor device
JP4736206B2 (en) 2001-03-05 2011-07-27 大日本印刷株式会社 Photomask pattern defect inspection method and fine figure pattern detection method
JP4267245B2 (en) 2001-03-14 2009-05-27 エーエスエムエル マスクツールズ ビー.ブイ. Optical proximity correction method using ruled line ladder bar as auxiliary feature with resolution
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6514849B1 (en) * 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) * 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (en) 2001-04-26 2008-11-26 株式会社東芝 PATTERN CORRECTION METHOD, PATTERN CORRECTION DEVICE, AND RECORDING MEDIUM CONTAINING PATTERN CORRECTION PROGRAM
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
JP2002368135A (en) 2001-06-12 2002-12-20 Hitachi Ltd Semiconductor memory device
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
JP4746770B2 (en) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 Semiconductor device
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (en) 2001-08-02 2003-02-27 Infineon Technologies Ag Method for producing a self-aligned structure on a semiconductor wafer
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
DE10143723B4 (en) 2001-08-31 2006-09-28 Infineon Technologies Ag A method for optimizing a layout for a mask for use in semiconductor fabrication
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (en) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 Wiring connection design method and semiconductor device
JP2003092250A (en) 2001-09-18 2003-03-28 Hitachi Ltd Semiconductor device and manufacturing method therefor
JP3989213B2 (en) 2001-09-25 2007-10-10 シャープ株式会社 Pass transistor logic circuit
JP3637299B2 (en) 2001-10-05 2005-04-13 松下電器産業株式会社 Semiconductor memory device
KR100576752B1 (en) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. Method of two dimensional feature model calibration and optimization
JP2003124339A (en) 2001-10-11 2003-04-25 Toshiba Corp Semiconductor device and its manufacturing method
JP3526450B2 (en) 2001-10-29 2004-05-17 株式会社東芝 Semiconductor integrated circuit and standard cell layout design method
JP2003142584A (en) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd Method for designing semiconductor integrated circuit
JP2003218238A (en) 2001-11-14 2003-07-31 Mitsubishi Electric Corp Semiconductor memory device
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP3789351B2 (en) 2001-11-30 2006-06-21 株式会社日立製作所 Reflective liquid crystal display device and manufacturing method thereof
JP2003168640A (en) 2001-12-03 2003-06-13 Hitachi Ltd Method of manufacturing semiconductor device
JP2003188361A (en) 2001-12-20 2003-07-04 Mitsubishi Electric Corp Semiconductor integrated circuit with gate array structure
JP3828419B2 (en) 2001-12-25 2006-10-04 株式会社東芝 Semiconductor device and manufacturing method thereof
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
JP2003203993A (en) 2002-01-10 2003-07-18 Mitsubishi Electric Corp Semiconductor storage device and its manufacturing method
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6877144B1 (en) 2002-02-28 2005-04-05 Dupont Photomasks, Inc. System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit
JP2003264231A (en) 2002-03-11 2003-09-19 Mitsubishi Electric Corp Method for designing layout and semiconductor device
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) * 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (en) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 How to make exposure master
US6992925B2 (en) * 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (en) 2002-06-03 2004-01-15 Mitsubishi Electric Corp Semiconductor storage device
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc Characterization adn reduction of variation for integrated circuits
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP3879063B2 (en) 2002-06-11 2007-02-07 富士通株式会社 Semiconductor device and manufacturing method thereof
JP2004022070A (en) 2002-06-17 2004-01-22 Renesas Technology Corp Semiconductor storage device
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP4036688B2 (en) 2002-06-18 2008-01-23 松下電器産業株式会社 Standard cell library for automatic placement and routing and semiconductor integrated device
JP4462528B2 (en) 2002-06-24 2010-05-12 株式会社日立製作所 Semiconductor integrated circuit device
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
US6687895B2 (en) * 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
JP2004040042A (en) 2002-07-08 2004-02-05 Fujitsu Ltd Semiconductor memory device
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
US7231628B2 (en) 2002-07-12 2007-06-12 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP4416384B2 (en) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ Semiconductor integrated circuit
KR100445638B1 (en) 2002-07-26 2004-08-25 삼성전자주식회사 Interconnection structure connecting electrically isolated regions and method of fabricatinging the same
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
CN100403518C (en) 2002-08-06 2008-07-16 松下电器产业株式会社 Semiconductor device and its making method, device and method for producing said device pattern
KR100493025B1 (en) 2002-08-07 2005-06-07 삼성전자주식회사 Method for manufacturing semiconductor memory device
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
FR2843481B1 (en) 2002-08-08 2005-09-16 Soisic MEMORY ON SUBSTRATE OF THE SILICON TYPE ON INSULATION
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
JP2004079694A (en) * 2002-08-14 2004-03-11 Fujitsu Ltd Standard cell
US6785875B2 (en) * 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) * 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
JP3795846B2 (en) 2002-08-29 2006-07-12 富士通株式会社 Semiconductor device
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (en) 2002-09-05 2004-03-18 Infineon Technologies Ag High density NROM FINFET
US20040049754A1 (en) 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
JP4279782B2 (en) 2002-10-10 2009-06-17 富士通株式会社 Layout method and apparatus, program thereof and recording medium
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) * 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (en) 2002-12-17 2006-11-22 株式会社東芝 SRAM cell and memory integrated circuit using the same
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
JP4202120B2 (en) 2002-12-27 2008-12-24 セイコーインスツル株式会社 Integrated circuit optimization design equipment
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (en) * 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 Semiconductor device and dummy pattern arrangement method thereof
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (en) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd Semiconductor circuit device and method of simulating circuit thereof
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
JP2004253730A (en) 2003-02-21 2004-09-09 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
JP4531340B2 (en) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 Multiplexer cell layout structure
EP1597631B1 (en) 2003-02-27 2009-07-22 The University of Hong Kong Multiple exposure method for circuit performance improvement and maskset
JP4290457B2 (en) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ Semiconductor memory device
JP3920804B2 (en) 2003-04-04 2007-05-30 松下電器産業株式会社 Semiconductor memory device
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
TW594991B (en) 2003-04-29 2004-06-21 Faraday Tech Corp Integrated circuit with one metal layer for programming functionality of a logic operation module
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
EP1623349B1 (en) 2003-05-07 2018-01-24 Conversant Intellectual Property Management Inc. Managing power on integrated circuits using power islands
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
JP2004342757A (en) 2003-05-14 2004-12-02 Toshiba Corp Semiconductor integrated circuit and method of designing the same
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (en) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US7062740B2 (en) 2003-05-22 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing design cycle time for designing input/output cells
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (en) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 Semiconductor integrated circuit device having diagonal wiring and layout method thereof
US20050009312A1 (en) 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
US6993741B2 (en) * 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
KR100577610B1 (en) 2003-07-15 2006-05-10 삼성전자주식회사 semiconductor device, method for manufacturing semiconductor decice, SRAM device and method for manufacturing SRAM
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1569273A3 (en) 2003-07-30 2005-09-14 St Microelectronics S.A. Conductive lines embedded in isolation regions
JP4398195B2 (en) 2003-08-08 2010-01-13 パナソニック株式会社 Semiconductor memory device
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4620942B2 (en) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 Semiconductor integrated circuit layout method, layout structure thereof, and photomask
TWI220268B (en) 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
KR100516226B1 (en) 2003-09-25 2005-09-23 동부아남반도체 주식회사 Cell for test of SRAM cell and method for test SRAM cell
JP2005114752A (en) 2003-10-02 2005-04-28 Yamaha Corp Music player
JP4599048B2 (en) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 Semiconductor integrated circuit layout structure, semiconductor integrated circuit layout method, and photomask
JP4632287B2 (en) 2003-10-06 2011-02-16 株式会社日立製作所 Semiconductor integrated circuit device
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (en) 2003-10-14 2005-04-15 St Microelectronics Sa Multiple short local electrical connections for selective linkage of integrated circuit elements comprise masked selective humid attack of deposited metal
JP2005123524A (en) 2003-10-20 2005-05-12 Toshiba Corp Semiconductor device and its manufacturing method
JP2005123537A (en) 2003-10-20 2005-05-12 Sony Corp Semiconductor device and its manufacturing method
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (en) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 Wiring design method for semiconductor integrated circuit and semiconductor integrated circuit
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (en) 2003-11-18 2005-06-09 Olympus Corp Arithmetic processing system and arithmetic processor
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
JP4585197B2 (en) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 Layout design method and photomask
KR100702552B1 (en) * 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 METHOD AND DEVICE FOR AUTOMATED LAYER GENERATION FOR DOUBLE-GATE FinFET DESIGNS
DE60336435D1 (en) 2003-12-26 2011-04-28 Tan-Cheng Huang HYDRAULIC DISC BRAKE
JP2005197345A (en) 2004-01-05 2005-07-21 Hitachi Ltd Semiconductor device
JP2005203447A (en) 2004-01-13 2005-07-28 Toshiba Corp Semiconductor integrated circuit, designing system thereof, and designing method thereof
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (en) 2004-02-19 2006-03-28 삼성전자주식회사 Hard Disc Drive
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
WO2005081066A1 (en) 2004-02-24 2005-09-01 The University Of Hong Kong Rectangular contact lithography for circuit performance improvement
JP2005243928A (en) 2004-02-26 2005-09-08 Fujitsu Ltd Semiconductor device with paired transistor isolated by trench isolation
US7335966B2 (en) 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
US7353492B2 (en) 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
JP4317777B2 (en) 2004-03-10 2009-08-19 パナソニック株式会社 Semiconductor integrated circuit
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (en) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd Design method of standard cell, and semiconductor integrated circuit
DE102004014472B4 (en) 2004-03-24 2012-05-03 Infineon Technologies Ag Application specific semiconductor integrated circuit
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
US7706172B2 (en) 2004-04-01 2010-04-27 Arm Limited Layout of a SRAM memory cell
WO2005098954A1 (en) 2004-04-02 2005-10-20 Triad Semiconductor, Inc. Via configurable architecture for customization of analog circuitry in a semiconductor device
WO2005098686A2 (en) 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
TWI297446B (en) 2004-04-02 2008-06-01 Clear Shape Technologies Inc Delta information design closure in integrated circuit fabrication
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
WO2005104356A1 (en) 2004-04-20 2005-11-03 Koninklijke Philips Electronics N.V. High speed differential receiver with rail to rail common mode operation having a symmetrical differential output signal with low skew
JP2007536581A (en) 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション Integrated circuit layout design method using process variation band
US7194712B2 (en) 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (en) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 Method for manufacturing gate electrode of semiconductor devices
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (en) * 2004-06-10 2011-12-14 シャープ株式会社 THIN FILM TRANSISTOR CIRCUIT, THIN FILM TRANSISTOR CIRCUIT DESIGN METHOD, THIN FILM TRANSISTOR CIRCUIT DESIGN PROGRAM, DESIGN PROGRAM RECORDING MEDIUM, AND DISPLAY DEVICE
JP4248451B2 (en) 2004-06-11 2009-04-02 パナソニック株式会社 Semiconductor device and layout design method thereof
JP4778689B2 (en) 2004-06-16 2011-09-21 パナソニック株式会社 Standard cells, standard cell libraries, and semiconductor integrated circuits
US7327591B2 (en) * 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (en) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 Multilayer wiring structure manufacturing method and FIB apparatus
JP4175649B2 (en) 2004-07-22 2008-11-05 松下電器産業株式会社 Semiconductor device
BRPI0513689A (en) 2004-07-27 2008-05-13 Easic Corp semiconductor devices, logic assemblies and semiconductor wafers
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
JP2006049780A (en) 2004-08-09 2006-02-16 Elpida Memory Inc Semiconductor integrated circuit device
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (en) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit using standard cell and design method thereof
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US7185294B2 (en) * 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
DE102004047263B4 (en) 2004-09-24 2010-04-22 Qimonda Ag A method of generating an aberration avoiding mask layout for a mask
JP2006100718A (en) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd Operation analyzing method for semiconductor integrated circuit device, analyzing apparatus used therefor, and optimization designing method using the apparatus
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
JP2006114668A (en) 2004-10-14 2006-04-27 Sony Corp Semiconductor integrated circuit and its manufacturing method
US7487475B1 (en) 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (en) 2004-10-19 2006-05-11 Nec Electronics Corp Layout method of wiring
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
JP4768251B2 (en) 2004-11-01 2011-09-07 株式会社東芝 Semiconductor integrated circuit design method, semiconductor integrated circuit design system, and semiconductor integrated circuit manufacturing method
WO2006052738A2 (en) 2004-11-04 2006-05-18 Fabbrix, Inc. A method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
KR100587692B1 (en) 2004-11-05 2006-06-08 삼성전자주식회사 Circuit wiring layout in semiconductor memory device and layout method thereof
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
JP2006156778A (en) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd Semiconductor device and its layout designing method
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP2006165365A (en) 2004-12-09 2006-06-22 Renesas Technology Corp Semiconductor device and method of manufacturing same
JP4357409B2 (en) 2004-12-17 2009-11-04 株式会社東芝 Semiconductor integrated circuit device and design method thereof
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2007043049A (en) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd Cell, standard cell, placement method using standard cell, standard cell library, and semiconductor integrated circuit
FR2879816B1 (en) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa ELECTRONIC CIRCUIT COMPRISING AT LEAST ONE FIRST AND A SECOND DIFFERENTIAL PAIRS WHOSE TRANSISTORS SHARE THE SAME HOUSING
WO2006070473A1 (en) 2004-12-28 2006-07-06 Spansion Llc Semiconductor device and operation control method for same
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7743349B2 (en) 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (en) 2005-01-12 2006-07-27 Nec Electronics Corp Semiconductor device and its design program
DE102005002533B4 (en) 2005-01-14 2007-09-13 Infineon Technologies Ag A method of generating an aberration avoiding mask layout for a mask
JP4455356B2 (en) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 Semiconductor device
KR20060092408A (en) 2005-02-17 2006-08-23 삼성전자주식회사 Circuits and methods for high performance exclusive or and exclusive nor
JP4602112B2 (en) 2005-02-17 2010-12-22 株式会社東芝 Manufacturing method of semiconductor integrated circuit and semiconductor integrated circuit
JP5018475B2 (en) 2005-02-23 2012-09-05 富士通セミコンダクター株式会社 Semiconductor circuit device and method of manufacturing the semiconductor circuit device
US7721246B2 (en) * 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7266787B2 (en) * 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4617272B2 (en) 2005-04-12 2011-01-19 エーエスエムエル マスクツールズ ビー.ブイ. Method, program product and device manufacturing method for performing double exposure lithography
JP4634849B2 (en) 2005-04-12 2011-02-16 株式会社東芝 Integrated circuit pattern layout, photomask, semiconductor device manufacturing method, and data creation method
JP4921723B2 (en) 2005-04-18 2012-04-25 株式会社東芝 Manufacturing method of semiconductor device
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7480891B2 (en) * 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7506300B2 (en) 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (en) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 Semiconductor device, manufacturing method thereof, and semiconductor device design program
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (en) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 Semiconductor memory device
WO2006126125A1 (en) 2005-05-26 2006-11-30 Nxp B.V. Electronic device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
WO2007002799A1 (en) 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US7236396B2 (en) 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007012855A (en) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit, design method and design equipment thereof standard cell, and standard cell library
JP2007013060A (en) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd Semiconductor device
JP2007018588A (en) * 2005-07-06 2007-01-25 Toshiba Corp Semiconductor storage device and method of driving the semiconductor storage device
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
WO2007010621A1 (en) 2005-07-22 2007-01-25 Fujitsu Limited Method of preparing photomask pattern data, photomask produced by using that photomask pattern data, and method of producing semiconductor device using that photomask
WO2007014053A2 (en) 2005-07-22 2007-02-01 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP2007093861A (en) 2005-09-28 2007-04-12 Renesas Technology Corp Method for designing mask pattern, and method for manufacturing semiconductor device
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (en) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 Basic cell design method, layout design method, design apparatus, and program
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
JP2007141971A (en) 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd Designing method of semiconductor integrated circuit
US8124976B2 (en) 2005-12-02 2012-02-28 Nec Corporation Semiconductor device and method of manufacturing the same
US7543262B2 (en) 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (en) 2005-12-26 2011-09-14 富士通株式会社 Integrated circuit layout apparatus, method and program
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (en) 2006-01-19 2012-12-05 パナソニック株式会社 Cell and semiconductor device
JP4675249B2 (en) 2006-02-07 2011-04-20 パナソニック株式会社 Position-dependent variation calculation method and circuit analysis method
US7480880B2 (en) * 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
JP4644614B2 (en) 2006-02-27 2011-03-02 富士通セミコンダクター株式会社 Layout editor device, wiring display method, and wiring display program
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7932545B2 (en) * 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8653857B2 (en) * 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
JP2007265179A (en) 2006-03-29 2007-10-11 Fujitsu Ltd Layout verification method, and layout verification unit
JP4882455B2 (en) 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 Unit cell of semiconductor integrated circuit, wiring method using unit cell, and wiring program
US7437691B2 (en) 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) * 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (en) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル Semiconductor device
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004790A (en) * 2006-06-23 2008-01-10 Oki Electric Ind Co Ltd Standard cell
JP2008004796A (en) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd Semiconductor device and circuit element layout method
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7444609B2 (en) * 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (en) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd Design method for semiconductor integrated circuit and circuit simulation method
JP4973224B2 (en) * 2006-07-19 2012-07-11 富士通セミコンダクター株式会社 Electronic circuit device design method, electron beam exposure data creation method, and electron beam exposure method
DE102006037162B4 (en) 2006-08-01 2008-08-21 Qimonda Ag Method and apparatus and their use for testing the layout of an electronic circuit
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
JP5080578B2 (en) 2006-08-04 2012-11-21 サガンテック イスラエル リミテッド Method and system for adapting circuit layout to a predetermined grid
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) * 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) * 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (en) 2006-09-26 2007-11-05 삼성전자주식회사 Semiconductor devices having substrate plug and methods of forming the same
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (en) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
JP4814044B2 (en) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 Pattern design method
JP2008103610A (en) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd Wiring structure for semiconductor integrated circuit, its design method, and design device
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (en) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 Method for manufacturing electrostatic discharge protection device
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (en) 2007-03-13 2009-08-06 주식회사 하이닉스반도체 Latch Structure And Bit Line Sense Amp Having The Same
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
JP4461154B2 (en) 2007-05-15 2010-05-12 株式会社東芝 Semiconductor device
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (en) 2007-06-15 2010-04-07 株式会社東芝 Semiconductor device
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) * 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (en) * 2007-07-17 2009-02-05 Nec Electronics Corp Method and program for designing semiconductor integrated circuit
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US7562326B2 (en) * 2007-08-09 2009-07-14 United Microelectronics Corp. Method of generating a standard cell layout and transferring the standard cell layout to a substrate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (en) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 Method for forming fine pattern of semiconductor device
JP2009088085A (en) 2007-09-28 2009-04-23 Tokyo Electron Ltd Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program, and program storage medium
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (en) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd Semiconductor device
JP5193582B2 (en) 2007-12-12 2013-05-08 株式会社東芝 Manufacturing method of semiconductor device
EP2251901A4 (en) 2007-12-14 2012-08-29 Fujitsu Ltd Semiconductor device
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
EP2235453B1 (en) 2007-12-31 2016-08-10 Arçelik Anonim Sirketi A cooling device
US7816740B2 (en) 2008-01-04 2010-10-19 Texas Instruments Incorporated Memory cell layout structure with outer bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
KR101739709B1 (en) 2008-07-16 2017-05-24 텔라 이노베이션스, 인코포레이티드 Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
SG172749A1 (en) 2009-03-06 2011-08-29 Kaixin Inc Leadless integrated circuit package having high density contacts
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
CN102714175B (en) * 2010-02-03 2014-12-17 瑞萨电子株式会社 Semiconductor device
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) * 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9613844B2 (en) 2010-11-18 2017-04-04 Monolithic 3D Inc. 3D semiconductor device having two layers of transistors
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
JP6094023B2 (en) * 2011-09-12 2017-03-15 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
WO2013082611A2 (en) * 2011-12-02 2013-06-06 Robust Chip Inc. Soft error hard electronics layout arrangement and logic cells
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (en) 2012-10-11 2016-01-01 Dolphin Integration Sa MEMORY NETWORK BASED ON ROCKETS

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016054529A (en) * 2009-04-30 2016-04-14 テラ イノヴェイションズ インコーポレイテッド Circuitry and layout for xor and xnor logic

Also Published As

Publication number Publication date
US20170272080A1 (en) 2017-09-21
EP3029840A1 (en) 2016-06-08
EP3358747A2 (en) 2018-08-08
US20100277202A1 (en) 2010-11-04
US10230377B2 (en) 2019-03-12
TWI539313B (en) 2016-06-21
JP5926175B2 (en) 2016-05-25
MY183545A (en) 2021-02-25
KR101717456B1 (en) 2017-03-17
KR20120028315A (en) 2012-03-22
MY163582A (en) 2017-09-29
JP6095752B2 (en) 2017-03-15
ES2634499T3 (en) 2017-09-28
KR20180004323A (en) 2018-01-10
WO2010126738A3 (en) 2011-03-10
JP2016054529A (en) 2016-04-14
TW201104480A (en) 2011-02-01
ES2565440T3 (en) 2016-04-04
KR20170031267A (en) 2017-03-20
KR101815441B1 (en) 2018-01-05
TW201546639A (en) 2015-12-16
SG175384A1 (en) 2011-12-29
KR101898410B1 (en) 2018-09-12
SG10201401689SA (en) 2014-06-27
TW201621730A (en) 2016-06-16
JP2017069981A (en) 2017-04-06
TW201727522A (en) 2017-08-01
KR101714337B1 (en) 2017-03-08
TWI592816B (en) 2017-07-21
TWI644226B (en) 2018-12-11
EP3358747A3 (en) 2018-11-14
KR20160075788A (en) 2016-06-29
JP2014222951A (en) 2014-11-27
KR101678833B1 (en) 2016-11-23
EP2425531B1 (en) 2016-01-13
JP2012525774A (en) 2012-10-22
KR20160136459A (en) 2016-11-29
US9673825B2 (en) 2017-06-06
WO2010126738A2 (en) 2010-11-04
TWI510941B (en) 2015-12-01
US8653857B2 (en) 2014-02-18
TW201816643A (en) 2018-05-01
EP3029840B1 (en) 2017-07-05
EP2425531A2 (en) 2012-03-07
TWI621959B (en) 2018-04-21
US20140159772A1 (en) 2014-06-12

Similar Documents

Publication Publication Date Title
JP5870433B2 (en) XOR and XNOR logic circuit and layout
JP6462838B2 (en) Cross-coupled transistor layout in constrained gate level layout architecture

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140819

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140819

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150722

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151224

R150 Certificate of patent or registration of utility model

Ref document number: 5870433

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees