JP5404772B2 - ツイン重合によって得られるLow−k誘電体 - Google Patents

ツイン重合によって得られるLow−k誘電体 Download PDF

Info

Publication number
JP5404772B2
JP5404772B2 JP2011506680A JP2011506680A JP5404772B2 JP 5404772 B2 JP5404772 B2 JP 5404772B2 JP 2011506680 A JP2011506680 A JP 2011506680A JP 2011506680 A JP2011506680 A JP 2011506680A JP 5404772 B2 JP5404772 B2 JP 5404772B2
Authority
JP
Japan
Prior art keywords
group
monomer
use according
hydrocarbon group
polymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011506680A
Other languages
English (en)
Other versions
JP2011524077A5 (ja
JP2011524077A (ja
Inventor
クリップ アンドレアス
ランゲ アルノ
ヘーンレ ハンス−ヨアヒム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of JP2011524077A publication Critical patent/JP2011524077A/ja
Publication of JP2011524077A5 publication Critical patent/JP2011524077A5/ja
Application granted granted Critical
Publication of JP5404772B2 publication Critical patent/JP5404772B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/44Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes vinyl resins; acrylic resins
    • H01B3/441Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes vinyl resins; acrylic resins from alkenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J9/00Working-up of macromolecular substances to porous or cellular articles or materials; After-treatment thereof
    • C08J9/26Working-up of macromolecular substances to porous or cellular articles or materials; After-treatment thereof by elimination of a solid phase from a macromolecular composition or article, e.g. leaching out
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers

Description

本発明は、3.5以下の誘電率を有する誘電体層及びそのような層を有する半導体部品を製造するための方法に関する。
集積回路(IC)の集積度の増大とともに、配線の性能は最先端にますます移行してきている。導体材料に加えて誘電体もまた、45nm以下の構造を達成するのに非常に重要な役割を果たす。該誘電体に本質的に要求されるのは、英語圏で、且つこれ以降kで表される最小比誘電率ε(dielectric constantとしても公知)である。3.7より小さい誘電率を有する材料(SiO2)は、一般にlow−k誘電体と呼ばれる。該誘電率の下限値は真空の誘電率であり、それは1である。
低誘電率を達成するのに、一方では、該材料は大いに多孔質でなければならないが、他方ではまた、製造プロセスから損傷を受けないままでいるために十分に機械的安定性を有していなければならない。
現在使用されるlow−k誘電体は、無機材料、有機材料又は無機材料及び有機材料のハイブリッドから成る。2.5以下のk値を有する誘電体の堆積は、現在は二つの方法によって、スピンオン法によって又はプラズマ化学気相成長法(PECVD)によって行われている。この2つの方法の詳細な説明は、例えばFuture FAB International 17, Volume 17−June 21,2004,Section 6, "Low k Dielectrics:Spin-on or CVD?及びFuture FAB International, Volume 23−July 09,2007,Section 6 "Can Spin−on or CVD Porous Low−k Films Meet Future Integration Needs?"に見出すことができる。
スピンオン法においては、オルガノシリカ又は有機化合物が液体の形で適用される。概してスピンオン法を利用して堆積される多孔質low−k誘電体の4つの種類を区別することができる。
−実験式(R−SiO3/2nの有機−無機ポリマーである、シルセスキオキサン(SSQ)をベースとする材料、
−純粋に無機性質である、シリケートをベースとする材料、
−有機ポリマー及び
−無定形炭素。
多孔質は、ナノ粒子によって、又は硬化中に除去される細孔形成剤(ポロジェン)によって生み出されることができる。
スピンオン法によって堆積されたこれらの多孔質low−k誘電体の欠点は、集積化を煩雑にする、それらの乏しい機械的特性、例えば低ヤング率及び3〜10nmの範囲の孔径である。低い接着性は、かかるlow−k誘電体を化学機械研磨(CMP)と比較的相容れないものとし、且つ大きな細孔は、いわゆるピンホールのようなバリヤー層の保全性に関する問題を引き起こす。最終的に、既存のlow−k誘電体は、製造又は試験プロセスの間の熱処理を煩雑にする高い熱膨張を有する。
ナノポーラスシリケートの機械的安定性を改善するために、US6410149B1は、単官能性、二官能性又は三官能性のアルコキシシランと四官能性のアルコキシシランとを混合し、それらを基板に適用し、次いでそれらを架橋してナノポーラスシリケートを形成することを提供する。
US7148263B2には、細孔形成剤、溶媒、触媒及び2つのシリコーン含有プレポリマーの混合物を有する組成物を基板に適用し、それを架橋し、且つ最終的にポロジェンを完全に除去するためにそれを加熱することによってlow−k誘電体を製造することが記載されている。
今日入手可能なlow−k誘電体の欠点は、特に、あらゆる取り組みにも関わらず比較的乏しい機械的安定性である。
他方で、Angew.Chem.2007,119,636−640は、テトラフルフリルオキシシラン(TFOS)又はジフルフリルオキシシラン(DFOS)のカチオン系ツイン重合を記載しており、該重合により、1回のみのステップで、相互貫入により架橋したポリフルフリルアルコール(PFA)とシリカゲルとから成るナノコンポジットがもたらされる。これらのPFA/SiO2ナノコンポジットは、ミクロポーラスナノ構造炭素を製造するための前駆体として意図されている。それには該PFA/SiO2ナノコンポジットが、大気中酸素による熱酸化によってメソポーラスSiO2に変えられることができることも述べられている。
本発明の目的は、上記で挙げられた従来技術と比較して、改善されたミクロポロシティ及び改善された機械的安定性を有する、SiO2ベースのlow−k誘電体を提供することである。
この目的は、少なくとも1つのツインモノマーを重合することによって得られる誘電体を有する3.5以下の誘電率を有する誘電体層によって達成される。少なくとも1つのツインモノマーは、
a)金属又は半金属を有する第一のモノマー、及び
b)第一のモノマーに化学結合によって結合されている第二のモノマー
を有し、その際、該重合は、該ツインモノマーを、該化学結合の切断及び第一のモノマー単位を有する第一のポリマーの形成及び第二のモノマー単位を有する第二のポリマーの形成を伴いながら重合することを含み、且つ、その際、第一及び第二のモノマー単位は共通の機構によって重合する。
該重合が同じ機構によって進行する場合、第一及び第二のポリマーの2つの相互貫入するポリマーネットワークが並行して形成される。第一及び第二のポリマーを形成する該重合は速度論的に対になっているとも言うことができる。
本発明による解決手段によって、有機ポリマーを使用するテンプレート援用法(template-assisted methods)が固有限界を満たす場合に、0.5nm〜2nmの長さスケールのナノ構造コンポジットを、多種多様な材料種のために開発することができる。該テンプレート援用法において、"補助ポリマー(auxiliary polymer)"、例えば疎水性/親水性ブロックコポリマーが使用され、それは特定の状態にあり、且つ1個のブロック中では酸化物構造のための前駆体を有する。
このように本発明による重合法は、分子と典型的なナノ構造との間の長さスケールのギャップを閉じる。2つの架橋ポリマー構造体が同時に形成する場合、コンポジット中の特定の成分の長さスケールは、モノマーの分子サイズによって及び拡散プロセスによって決定される。
ツイン重合上での固有の特徴は、Mを有する第一の高分子(例えばSiO2、適切な場合、−O−Si(CH3nフラクションにより"欠陥"を有する)が第二のポリマーと同時進行的に形成することである。2つの異なる化学的に結合した単位(ハイブリッドモノマー)から成る特殊型モノマーのツイン重合は、1回のみの処理ステップで、2つの異なるポリマーの同時形成をもたらす。該ハイブリッド材料の無機相及び有機相の共連続構造の利点は、2つの相を同時に形成する個々の反応物質を使用することによって達成される。該相は、肉眼で見える反応生成物のいかなる析出も存在することなく、重合の間に分離する。その代わりに、ナノメートル範囲の長さスケールでの分離が生じる。重合において形成される2つの相は、互いに完全に且つ連続的に貫入する。孤立領域の形成は、反応が適切に行われている場合には観察され得ない。これは特に該相の均一な分布をもたらす。
それゆえツイン重合は、誘電体層を製造するのに既に公知の方法、例えば同時重合(2つの異なるモノマーが1つの系で同時に重合される)、又は連続重合(この場合、組み合わされたモノマー(主モノマー)が連続的に2つの異なる機構によって重合される)の有機シリケートハイブリッドモノマーとは著しく異なる。
第二のモノマー単位は、第一のモノマー単位に化学結合によって結合されている。本発明の範囲において、化学結合は、単なる静電相互作用、例えばイオン間相互作用又はファンデルワールス力以外にも適用される任意の結合である。該結合は、好ましくは本質的に共有結合形である。
第一のモノマー単位は、金属又は半金属を有する。該金属又は半金属は、好ましくはSi、B、Ti、Zr又はHfであってよいが、それらに限定されない。特に好ましいのは、半金属Si又は金属Tiである。
第一のポリマーは、好ましくは本質的に無機系である。本質的に無機系であるとは、有機含有量が10質量%未満、好ましくは5質量%未満であることを意味する。付加的に好ましくは、第一のポリマーは金属酸化物又は半金属酸化物である。第一のポリマーは、より好ましくは(SiO2nである。
好ましい本質的に無機系のポリマーは、シリケート[SiO2]n、ボレート[B23]n及びチタネート[TiO2]であるが、それらに限定されない。本質的に無機系のポリマーは、純粋に共有結合した構造又は他に結晶格子として(部分的に)存在するものであってもよい。
第二のポリマーは、好ましくは本質的に有機系である。本質的に有機系であるとは、第一のポリマーが、炭素及びそれに水素が少なくとも部分的に置換されていてよい炭化水素骨格から成り、且つ非有機フラクションが10質量%未満、好ましくは5質量%未満であることを意味する。
より好ましくは、第一のポリマーは無機系であり、且つ第二のポリマーは有機系である。
ハイブリッドモノマーの有機部分と無機部分との化学量論比は、分子構造に従う幅広い範囲内で変化してよい。例えば、1:10〜10:1の範囲における比が可能である。
本発明による誘電体層を利用して、3.5以下、好ましくは3.0以下、更に好ましくは2.5以下、より好ましくは2.0以下の誘電率を達成することが可能である。第一のポリマー及び第二のポリマーは、誘電体層に留まってよい。第一のポリマーが本質的に無機ポリマーであり、且つ第二のポリマーが本質的に有機ポリマーである場合、無機−有機コンポジットが生じる。
第二のポリマーは、選択的に熱により、酸化により又は熱及び酸化により除去することができる。これはナノメートル範囲の隙間を有する本質的に無機系の誘電体層をもたらし、それは非常に低い誘電率にも関わらず顕著な機械的安定性を有する。更に第二のポリマーの除去は、誘電率を低下させる。
第二のモノマー単位は、好ましくは基
Figure 0005404772
[式中、A1、A2、A3は、それぞれ独立して水素、直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基、又は芳香族−脂肪族炭化水素基である]であってよい。
付加的に好ましくは、第二のモノマー単位は、基
Figure 0005404772
[式中、A1、A2、A3及びA4は、それぞれ独立して水素又は直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基又は芳香族−脂肪族炭化水素基であり、且つR3、R4は、それぞれ独立して水素又は1〜6個の炭素原子を有するアルキル基、好ましくはメチル又はHである]であってよい。
ツインモノマーの一例は、有機金属化合物M(R1n(R2mである。この場合、Mを有する少なくとも1つの第一のポリマー及びR1基を有する少なくとも1つの第二のポリマーが同時に形成されており、ここで
Mは、金属又は半金属、好ましくはSi、B、Ti、Zr又はHfであり、
nは、3〜Mの最大原子価Vまでの整数であり、
mは、0〜V−nの整数であり、
1は、
Figure 0005404772
であるか
又は2個の基R1が一緒になって
Figure 0005404772
を形成する。
この構造において、
1、A2、A3、A4は、それぞれ独立して水素又は直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基又は芳香族−脂肪族炭化水素基であり、
2は、水素又は線状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基又は芳香族−脂肪族炭化水素基であり、
3、R4は、それぞれ独立して水素又は1〜6個の炭素原子を有するアルキル基、好ましくはメチル又はHである。
好ましくは、low−k誘電体は、少なくとも3つのM−O−C結合を有するハイブリッドモノマー(ツインモノマー)を同時に重合することによって調製可能である。極めて重要なことは、2つの異なる高分子構造が1回のプロセスで同時に形成されることである。形成する2つのポリマーは、それぞれ直鎖状、分枝鎖状の又は架橋した構造を形成してよい。ハイブリッドモノマーの分子コンポジットは、理論上の架橋度を規定するものである。
一般に、第一及び第二のモノマー単位の重合は、任意の機構によって行うことができるが、ただし該機構は同じであることが前提とされる。好ましくは重合は、アニオン重合、カチオン重合又はフリーラジカル重合により行われ、すなわち第一のモノマー及び第二のモノマー両方の重合は、アニオン重合、カチオン重合又はフリーラジカル重合により行われる。好ましいのはカチオン系ツイン重合である。2つのポリマーの成長ステップは、第一のポリマーが第二のポリマーと同じ時間スケール上で形成されるように、速度論的に対になっている。
カチオン系ツイン重合の2つの好ましいタイプは以下のものである:
・基R1のいずれも一緒になって環系を形成していないハイブリッドモノマーの場合、カチオン重合により、付加的に更なる低分子量の縮合物、例えば水が形成される。この反応は、従って縮合重合であり、これ以降でツイン重縮合とも称される。
ポリマー−[A−]n上への1個のモノマーAの付加重合は、そのつどの隣接するモノマーと活性鎖末端との間に新たな結合を形成し、そして該モノマー内の結合が切断される。切断された結合は、多重結合(例えばエテン又はスチレン)の部分又は環(カプロラクタム)の部分であってよい。
ツイン重縮合の一例は、以下の図式に従ったテトラフルフリルオキシシラン(TFOS)の重合である:
Figure 0005404772
・基R1の少なくとも2個又は基R1及び基R2が一緒になって環系を形成しているハイブリッドモノマーの場合、開環重合により1回のプロセスで2つのポリマーが形成され、その際、低分子量生成物は取り除かれない。これはこれ以降でツイン開環重合とも称される。有機基(例えばビニル基)における重合可能な基を有するシリケートモノマーは、SiO2に加えて、有機ポリマーを1回のプロセスで提供し、その際、低分子量生成物は形成されない。
ツイン開環重合の一例は、次の図式に従った金属−又は半金属−スピロ化合物、例えば2,2'−スピロビ[4H−1,3,2−ベンゾジオキサシリン]の重合である:
Figure 0005404772
重縮合及び開環重合の組み合わせた形も同様に可能である。
A及びB単位は、等しく1つ以上の結合によって結ばれていてよく、それは線状であってよく又は環内にあってよい。
ツイン重縮合のための特に好ましいツインモノマーは、式(IIa)
Figure 0005404772
[式中、
Mは、金属又は半金属、好ましくはSi、Ti、Zr又はHf、より好ましくはSi又はTiであり、
1、A2、A3は、それぞれ独立して水素又は直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基又は芳香族−脂肪族炭化水素基である]のものである。
好ましくは、基A1〜基A3の2個以上が互いに結ばれており、より好ましくは融合しており、すなわち共通の環系を形成して結ばれている。
付加的に、基A1〜基A3の1個以上の炭素原子が独立してヘテロ原子によって、より好ましくは酸素、硫黄及び/又は窒素によって置き換えられている場合に好ましい。A1〜A3が独立して1個以上の官能基を有する場合も好ましい。有用な官能基には、特にハロゲン、殊に臭素、塩素、又はそれ以外に−CN及び−NR2が含まれ、ここでRは、特に水素又は脂肪族又は芳香族の炭化水素基、好ましくはH、メチル、エチル又はフェニルである。
より好ましくは、基A1及び基A3の少なくとも1個は水素原子である。極めて好ましい一実施態様において、A1及びA3のいずれも水素原子である。また極めて好ましくは、A1〜A3はそれぞれHである。
最も好ましくは、化合物テトラフルフリルオキシシランである。
ツイン重縮合は、それ自体詳細にAngew.Chem.2007,119,636−640に記載されている。
ツイン開環重合のための特に好ましいツインモノマーはまた、式(IIb)
Figure 0005404772
[式中、
Mは、金属又は半金属、好ましくはSi、Ti、Zr又はHf、より好ましくはSi又はTiであり、
1、A2、A3、A4は、それぞれ独立して水素又は直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基又は芳香族−脂肪族炭化水素基であり、
3、R4は、それぞれ独立して水素又は1〜6個の炭素原子を有するアルキル基、好ましくはメチル又はHである]のスピロ化合物である。
スピロ化合物の該ツイン開環重合は、詳細にそれ自体ドイツ国特許出願番号102007063284.5(本出願の優先日に未公開であった)に記載されている。
好ましくは、基A1〜基A4の2個又は2個より多くが互いに結ばれており、より好ましくは融合しており、すなわち共通の環系を形成して結ばれている。
付加的に、基A1〜基A4の1個以上の炭素原子が独立してヘテロ原子によって、より好ましくは酸素、硫黄及び/又は窒素によって置き換えられている場合に好ましい。A1〜A4がそれぞれ独立して1個以上の官能基を有する場合も好ましい。有用な官能基には、特に以下の基が含まれる:ハロゲン、殊に臭素、塩素、又はそれ以外に−CN及び−NR2、ここでRは、特に水素又は脂肪族又は芳香族の炭化水素基、好ましくはH、メチル、エチル又はフェニルである。
付加的に好ましくは、該基R1及び基R2は、それぞれ独立して水素又は1〜6個の炭素原子を有するアルキル基である。R1及びR2は、好ましくはそれぞれ水素(H)及びメチルから選択される。より好ましくは、R1及びR2はそれぞれHである。
より好ましくは、基A1及び基A3の少なくとも1個は水素原子である。極めて好ましい一実施態様において、A1及びA3のいずれも水素原子である。また極めて好ましくは、A1〜A4はそれぞれHである。
最も好ましいのは、化合物2,2'−スピロビ[4H−1,3,2−ベンゾジオキサシリン]である。
更に本発明は、半導体基板上に3.5の誘電率を有する誘電体層を製造するための、
a)金属又は半金属を有する第一のモノマー単位、及び
b)化学結合によって第一のモノマー単位に結合されている第二のモノマー単位
を有する少なくとも1つのツインモノマーを有する組成物の使用を提供し、その際、該ツインモノマーは、該化学結合の切断及び第一のモノマー単位を有する第一のポリマー及び第二のモノマー単位を有する第二のポリマーの形成を伴いながら重合可能であり、且つ第一及び第二のモノマー単位は共通の機構によって重合可能である。
本発明による誘電体層は、ツイン重合によって得られる誘電体のみならず、更なる添加剤及び助剤も有してよい。該誘電体層が本質的にツイン重合によって得られた誘電体から成り、すなわち5%以下の少量に過ぎない他の物質しか存在していないことが好ましい。
本発明による誘電体層は、特に半導体装置におけるBEOL及びFEOLセクターにおいて誘電体層を製造するのに、特に論理部品及びメモリー部品(例えばDRAM、NAND flash等)を製造するための銅メタライゼーションにおいて適している。金属トラック間の間隔がますます小さくなっているそのような高性能半導体において、本発明の誘電体層は、寄生結合容量を効果的に低減し、且つそれに従って高い電流密度及びスイッチング速度を可能にする高価値の絶縁体層を構成している。
該層の層厚は、500nm〜2μm、好ましくは300nm〜600nm、より好ましくは100nm〜200nmの範囲内にある。
3.5未満の誘電率を有する誘電体層は、好ましくは:
a)金属又は半金属を有する第一のモノマー単位、及び化学結合によって第一のモノマー単位に結合されている第二のモノマー単位を有する少なくとも1つのツインモノマーを半導体基板に適用し、且つ
b)この少なくとも1つの有機金属化合物を、該化学結合の切断及び第一のモノマー単位を有する第一のポリマー及び第二のモノマー単位を有する第二のポリマーの形成を伴いながら重合し、且つ、その際、第一及び第二のモノマー単位を同じ機構によって重合する処理によって適用されることができる。
該ツインモノマーは、ステップa)で、全ての公知の方法を利用して、特にスピンオン法を利用して適用されることができる。
該スピン法(スピンコーティング法)においては、該ポリマーの希釈溶液が、該基板(半導体部品)の回転する間に薄層として適用される。希釈、粘度及び回転速度を通して、所望の層厚を設定することができる。高い回転速度ゆえに、溶媒/溶媒混合物の一部がスピンコーティング中に早くも揮発する。種々の温度範囲(100〜200℃;200〜300℃;300〜400℃;しかし、せいぜい450℃)での、その後に続く加熱ステップにおいて又は一連の加熱ステップにおいて、該材料は重合され、且つ硬化される。約350〜450℃の温度は、有機ポロジェンの加熱分解による多孔率の上昇と、且つそれに従って比誘電率を減少させるのに使用される。特に好ましいのは、low−k誘電体を製造するための400〜450℃の温度範囲である。
ツインポリマーはそれ自体使用することができ、又はステップb)の後にステップc)(第二のポリマーを熱的及び/又は酸化的に除去してナノ構造多孔質の金属酸化物又は半金属酸化物を形成する)を行ってもよい。該ツインポリマーの及び該スピンコーティングプロセス後に用いられる加熱処理ステップの温度の適切な選択により、堆積されたlow−k誘電体の機械的及び物理的特性を制御された形で調節することが可能になる。後で製造プロセスにおける又は機能的な使用上での劣化及び/又は析出を防ぐために、高い温度での加熱処理ステップが有利である。好ましい温度は300℃であり、特に好ましい温度は400〜450℃である。
言及した触媒に加えて、重合はカチオン系光開始剤によって開始することもできる。カチオン系光開始剤は公知であり、且つ、例えばエポキシ樹脂を硬化させるのに用いられる。典型的なカチオン系光開始剤は、オニウム塩、フェロセニウム塩又はジアゾニウム塩である。好ましいオニウム塩は、スルホニウム塩及びヨードニウム塩、例えばトリアリールスルホニウム塩又はジアリールヨードニウム塩から選択される。オニウム塩、フェロセニウム塩又はジアゾニウム塩の対イオンは、非求核性アニオン、例えばテトラフルオロホウ酸塩、テトラキス(ペンタフルオロフェニル)ホウ酸塩、ヘキサフルオロリン酸塩又はヘキサフルオロアンチモン酸塩である。
照射されると、オニウム塩はポリイソブテンエポキシドの開環を触媒する超酸を形成することになる。該照射は、UV光、可視光、電子ビーム又はガンマ線によって生み出されることができる。該条件に関して、一般に適切な条件は、エポキシ樹脂のカチオン重合において用いられる条件である。
引用した全ての文献は、参照を以て本特許出願に組み込まれたものとする。全ての量の値(パーセンテージ、ppm等)は、特に指示がない限り、混合物の全質量に対しての質量に関する。

Claims (17)

  1. 誘電率が3.5又はそれ未満の誘電体層を半導体基板上に製造するための少なくとも1種のツインモノマーを有する組成物の使用であって
    前記ツインモノマーは、
    a) 金属又は半金属を有する第一のモノマー単位と、
    b) 前記第一のモノマー単位に化学結合によって結合されている第二のモノマー単位
    を有しており、
    ここで、前記ツインモノマー、前記化学結合の切断と、前記第一のモノマー単位を有する第一のポリマーの形成と、前記第二のモノマー単位を有する第二のポリマーの形成を伴重合可能であり、且つ
    前記第一及び第二のモノマー単位が共通の機構によって重合可能である
    使用。
  2. 請求項1に記載の使用であって、
    製造工程のバックエンド(BEOL)及び/又はフロントエンド(FEOL)の対象となる半導体装置におけるセクターに誘電体層を製造する際における使用。
  3. 請求項1又は2に記載の使用であって、
    前記の金属又は半金属が、Si、B、Ti、Zr又はHfであり、特にSi又はTiである、
    使用。
  4. 請求項1から3までのいずれか1項記載の使用であって、
    前記第一のポリマーはその有機含有量が10質量%未満であり、且つ
    前記第二のポリマーはその非有機フラクションが10質量%未満である、
    使用。
  5. 請求項1から4までのいずれか1項記載の使用であって、
    前記第一のモノマー単位及び前記第二のモノマー単位が、アニオン的に、カチオン的に又はフリーラジカル的に重合する、
    使用。
  6. 請求項1から5までのいずれか1項記載の使用であって、
    前記誘電率が、3.0以下、特に2.5以下である、
    使用。
  7. 請求項1から6までのいずれか1項記載の使用であって、
    前記第二のポリマーが、熱的に、酸化的に、又は、熱的に且つ酸化的に、除去される、
    使用。
  8. 請求項1から7までのいずれか1項記載の使用であって、
    前記第二のモノマー単位が、以下の基
    Figure 0005404772
    [式中、A 1 、A 2 、A 3 は、それぞれ独立して、水素、又は、直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基、若しくは芳香族−脂肪族炭化水素基である]である、
    使用。
  9. 請求項1から7までのいずれか1項記載の使用であって、
    前記第二のモノマー単位が、以下の基
    Figure 0005404772
    [式中、A 1 、A 2 、A 3 及びA 4 は、それぞれ独立して、水素、又は、直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基若しくは芳香族−脂肪族炭化水素基であり、且つ、R 3 、R 4 は、それぞれ独立して、水素又は1〜6個の炭素原子を有するアルキル基、好ましくはメチル又はHである]である、
    使用。
  10. 請求項8又は9記載の使用であって、
    前記の基A 1 、基A 2 、基A 3 及び、存在する場合、基A 4 のうちの少なくとも2個が互いに結ばれており、より好ましくは融合している、
    使用。
  11. 請求項8又は9記載の使用であって、
    前記の基A 1 、基A 2 、基A 3 及び、存在する場合、基A 4 のうちの1個以上の炭素原子が、独立して、ヘテロ原子によって、より好ましくはO、S及び/又はNによって置き換えられている、
    使用。
  12. 請求項8から11までのいずれか1項記載の使用であって、
    1 、A 2 、A 3 及び、存在する場合、A 4 が、それぞれ独立して、1個以上の官能基、特に、ハロゲン、例えばBr又はCl、又は、CN、又は、NR [式中、Rは、特に、H又は脂肪族若しくは芳香族の炭化水素、好ましくはH、メチル、エチル又はフェニルである]である、
    使用。
  13. 請求項8から12までのいずれか1項記載の使用であって、
    1 及びA 3 が、それぞれHであり、好ましくはA 1 、A 2 、A 3 及び、存在する場合、A 4 がそれぞれHである、
    使用。
  14. 請求項1から13までのいずれか1項記載の使用であって、
    前記誘電体が、式(IIa)
    Figure 0005404772
    [式中、
    Mは、金属又は半金属、好ましくはSi、Ti、Zr又はHf、より好ましくはSi又はTiであり、且つ、
    1 、A 2 、A 3 は、それぞれ独立して、水素、又は、直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基若しくは芳香族−脂肪族炭化水素基である]
    の少なくとも1つの有機ケイ素化合物のツイン重合によって得られる、
    使用。
  15. 請求項1から13までのいずれか1項記載の使用であって、
    前記誘電体が、式(IIb)
    Figure 0005404772
    [式中、
    Mは、金属又は半金属、好ましくはSi、Ti、Zr又はHf、より好ましくはSi又はTiであり、
    1 、A 2 、A 3 、A 4 は、それぞれ独立して、水素、又は、直鎖状又は分枝鎖状の、脂肪族炭化水素基、芳香族炭化水素基若しくは芳香族−脂肪族炭化水素基であり、且つ、
    3 、R 4 は、それぞれ独立して、水素、又は、1〜6個の炭素原子を有するアルキル基、好ましくはメチル又はHである]
    の少なくとも1つの有機ケイ素化合物のツイン重合によって得られる、
    方法。
  16. 請求項1から15までのいずれか1項記載の使用によって得られた半導体部品。
  17. 3.5又はそれ未満の誘電率を有する誘電体層を少なくとも1つ有する半導体部品の製造法であって
    a) 金属又は半金属を有する第一のモノマー単位と、前記第一のモノマー単位に化学結合によって結合されている第二のモノマー単位を有するツインモノマーの少なくとも1種を半導体基板に適用する工程と、
    b) 前記の少なくとも1種の有機金属化合物であるツインモノマーの重合であって、前記化学結合の切断と、前記第一のモノマー単位を有する第一のポリマーの形成と、前記第二のモノマー単位を有する第二のポリマーの形成を伴重合を行い、ここで、前記の第一及び第二のモノマー単位が同じ機構によって重合し、それにより、前記の少なくとも1つの誘電体層を形成する、
    半導体部品の製造法。
JP2011506680A 2008-04-28 2009-04-28 ツイン重合によって得られるLow−k誘電体 Active JP5404772B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP08155304 2008-04-28
EP08155304.2 2008-04-28
PCT/EP2009/055092 WO2009133082A1 (en) 2008-04-28 2009-04-28 Low-k dielectrics obtainable by twin polymerization

Publications (3)

Publication Number Publication Date
JP2011524077A JP2011524077A (ja) 2011-08-25
JP2011524077A5 JP2011524077A5 (ja) 2012-06-14
JP5404772B2 true JP5404772B2 (ja) 2014-02-05

Family

ID=40833468

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011506680A Active JP5404772B2 (ja) 2008-04-28 2009-04-28 ツイン重合によって得られるLow−k誘電体

Country Status (10)

Country Link
US (1) US8476368B2 (ja)
EP (1) EP2272068B1 (ja)
JP (1) JP5404772B2 (ja)
KR (1) KR101610978B1 (ja)
CN (1) CN102017015B (ja)
IL (1) IL208534A (ja)
MY (1) MY152799A (ja)
RU (1) RU2010148303A (ja)
TW (1) TWI491657B (ja)
WO (1) WO2009133082A1 (ja)

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9234098B2 (en) 2009-04-03 2016-01-12 Basf Se Method for the production of composite materials
JP5627666B2 (ja) 2009-04-03 2014-11-19 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 電気活性物質およびリチウムイオン電池用の負極中での該電気活性物質の使用
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9005367B2 (en) 2009-05-07 2015-04-14 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
KR101799602B1 (ko) 2009-05-07 2017-11-20 바스프 에스이 레지스트 스트리핑 조성물 및 전기 소자의 제조 방법
EP2449076B1 (en) 2009-06-30 2016-09-21 Basf Se Aqueous alkaline cleaning compositions and methods of their use
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN103476837A (zh) 2011-01-19 2013-12-25 巴斯夫欧洲公司 制备复合材料的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN104103572B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 多孔低k介质层的形成方法及多孔低k介质层
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) * 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10837234B2 (en) 2018-03-26 2020-11-17 Novatek Ip, Llc Unidirectionally extendable cutting element steering
US11002077B2 (en) 2018-03-26 2021-05-11 Schlumberger Technology Corporation Borehole cross-section steering
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US20220324885A1 (en) 2019-07-18 2022-10-13 Basf Se Twin-monomer composition and dielectric film thereof
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
CN110706926B (zh) * 2019-10-14 2020-11-17 深圳市峰泳科技有限公司 可宽温使用的柔性薄膜电容及其制备方法
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4172806A (en) 1978-02-27 1979-10-30 Dow Corning Corporation Endblocked furfuryloxy organosilicon dielectric fluids and electrical devices containing same
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
JP4495464B2 (ja) 2002-01-17 2010-07-07 シレクス オサケユキチュア 集積回路の製造方法
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
CN100527309C (zh) * 2003-03-06 2009-08-12 松下电器产业株式会社 电子发射元件、荧光体发光元件及图像描绘装置
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7148263B2 (en) 2004-07-14 2006-12-12 Honeywell International Inc. Hybrid inorganic/organic low k dielectric films with improved mechanical strength
JP2006193629A (ja) * 2005-01-14 2006-07-27 Yokohama Rubber Co Ltd:The 硬化性組成物およびその硬化物
JP4957037B2 (ja) * 2006-03-24 2012-06-20 東ソー株式会社 有機シラン化合物、それを含むSi含有膜形成材料、製造方法および用途
DE102007063284A1 (de) 2007-12-27 2009-07-02 Basf Se Spiroverbindungen

Also Published As

Publication number Publication date
US8476368B2 (en) 2013-07-02
RU2010148303A (ru) 2012-06-10
CN102017015B (zh) 2013-01-16
WO2009133082A1 (en) 2009-11-05
KR101610978B1 (ko) 2016-04-08
IL208534A0 (en) 2010-12-30
TW201000532A (en) 2010-01-01
KR20110007215A (ko) 2011-01-21
MY152799A (en) 2014-11-28
JP2011524077A (ja) 2011-08-25
EP2272068B1 (en) 2018-07-04
TWI491657B (zh) 2015-07-11
CN102017015A (zh) 2011-04-13
US20110046314A1 (en) 2011-02-24
EP2272068A1 (en) 2011-01-12
IL208534A (en) 2013-09-30

Similar Documents

Publication Publication Date Title
JP5404772B2 (ja) ツイン重合によって得られるLow−k誘電体
US7915180B2 (en) SiCOH film preparation using precursors with built-in porogen functionality
TWI470695B (zh) 環狀碳矽烷介電膜
US7504470B2 (en) Polyorganosiloxane dielectric materials
EP1891146B1 (en) Organo functionalized silane monomers and siloxane polymers of the same
WO2007072750A1 (ja) 低誘電率非晶質シリカ系被膜形成用塗布液および該塗布液から得られる低誘電率非晶質シリカ系被膜
KR20010053433A (ko) 나노 다공성 실리카의 증착방법
JP2003508895A (ja) Ulsi用途のためにシロキサンポリマーで処理されたナノポーラスシリカ
JP4406340B2 (ja) 多反応性環状シリケート化合物、この化合物から製造されたシロキサン系重合体及びこの重合体を用いた絶縁膜製造方法
CN1146964C (zh) 使纳米级微孔二氧化硅机械强度最优化的方法
KR20060090483A (ko) 풀러렌을 포함하는 저유전 박막 형성용 조성물, 이를이용한 저유전 박막 및 저유전 박막의 제조방법
TWI328841B (en) Composition for forming porous film, porous film and method for forming the same, interlevel insulator film, and semiconductor device
JP2004536924A (ja) シロキサン樹脂
US20060180900A1 (en) Organo-silsesquioxane polymers for forming low-k dielectrics
JP2004307692A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
JP2006503165A (ja) オルガノシロキサン
JP4324786B2 (ja) 積層体およびその製造方法ならびに絶縁膜および半導体装置
JP4004983B2 (ja) 絶縁膜形成材料及びそれを用いた絶縁膜
JP2004292767A (ja) 絶縁膜形成材料及びそれを用いた絶縁膜
JP2005200515A (ja) 絶縁膜形成用材料及びそれを用いた絶縁膜
JP2006080569A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120425

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120425

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130329

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130405

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130514

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130604

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130611

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130704

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130930

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131029

R150 Certificate of patent or registration of utility model

Ref document number: 5404772

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250