JP5342140B2 - 多孔質膜の堆積方法 - Google Patents

多孔質膜の堆積方法 Download PDF

Info

Publication number
JP5342140B2
JP5342140B2 JP2007527982A JP2007527982A JP5342140B2 JP 5342140 B2 JP5342140 B2 JP 5342140B2 JP 2007527982 A JP2007527982 A JP 2007527982A JP 2007527982 A JP2007527982 A JP 2007527982A JP 5342140 B2 JP5342140 B2 JP 5342140B2
Authority
JP
Japan
Prior art keywords
deposition
silicon
selective silicon
silicon removal
selective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007527982A
Other languages
English (en)
Other versions
JP2008511136A (ja
Inventor
セシリア ワイ マック
カム エス ロー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JP2008511136A publication Critical patent/JP2008511136A/ja
Application granted granted Critical
Publication of JP5342140B2 publication Critical patent/JP5342140B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Description

本発明は、一般的には、基板上に多孔質膜を堆積させる処理方法に関する。より具体的には、本発明は、半導体集積回路を製造するために多孔質シリカ膜又はドープシリカ膜を堆積させる処理方法に関する。本方法はまた、多孔質構造を必要とする他の用途での使用にも有利である。
誘電率(k)約4を有する二酸化珪素は、半導体集積回路を製造するための絶縁材料として従来的に使用されている。素子寸法が縮小すると、相互接続RC(抵抗−キャパシタンス)遅延の問題は、優れた回路性能を提供するために絶縁体がより低い誘電率を有することを要求する。半導体業界は、様々な技術ノードでこれらの目標を特定しており、それらを「国際半導体技術ロードマップ」に公開している。誘電率4未満は、低−kと一般的に称され、2.2未満のものは、超低−kと一般的に称される。90nm素子世代の次には、2.6未満のk−値を有する低−k誘電体が素子製造のために望ましいと予想されている。
誘電率は、外部から印加される電界に材料内に電気双極子を誘起させる材料の傾向の尺度である。このいわゆる電気的分極率は、材料における電子分極、イオン分極、及び歪み分極によって支配される。分極現象の優れた概説及び低誘電率材料の様々な分類のより詳細な説明は、K.Maex他による論文[K.Maex,M.R.Baclanov,D.Shamiryan,F.Iacopi,S.H.Brongersma,及びZ.S.Yanovitskaya、「J.App.Phys.」、93巻、11号、8793−8841頁]、又はS.Wolfによる章[S.Wolf著「VLSI時代のシリコン処理、第4巻:大きくミクロン以下の処理技術」、Lattice Press、カリフォルニア州サンセットビーチ、2002年、639−670頁]に見ることができる。
基本的には、二酸化珪素での分極を弱めるために、シリコン及び酸素の構造格子を変更し、シリコン−酸素結合の一部又は全てをより分極の少ない結合と置換し、及び/又は自由空間を導入して膜内の材料密度を低下させることができる。調査された試みとしては、1)シリカベースのドープ酸化物、2)シルセスキオキサン・ベースの無機−有機混成ポリマー、3)有機ポリマー、及び4)アモルファス炭素膜の開発が挙げられる。
シリカベースのドープ酸化物は、通常、プラズマ強化を有するか又は有しない化学気相蒸着(CVD)法によって堆積される。フッ素ドープは、約3.6のk−値を有するフルオロケイ酸ガラス(FSG)を提供する。炭素又は他のアルキル置換は、誘電率を更に低下させ、一部は、2.6から2.8のような低いk−値に達する。全体がアモルファス炭素の膜又はフルオロカーボン膜は、より低いk−値をもたらすと報告されている。しかし、アモルファス炭素の技術は、依然として非常に未熟であり、製造に考慮するのは時期尚早である。
CVDシリカベースのドープ酸化物は、その酸化シリコンのような構造のために半導体誘電体としての使用に魅力がある。この膜は、回路設計において殆ど修正を要しない。半導体製造業者はまた、彼らの素子製作を継続するために既存のツールセットとインフラストラクチャを利用することができる。これらの膜の一部は、180nm、150nm、130nm、及び更に90nmノードでも採用されてきた。しかし、オキシカーバイド膜は、以後の処理における炭素減損の傾向があり、それは望ましくない最終誘電率をもたらす。更に、シリカへの炭素の組込みは、特にエッチング、化学機械研磨、及び洗浄において処理上の多くの困難な問題を持ち込む。その結果、実施は、厄介で高コストである。
逆に、シルセスキオキサン・ベースの無機−有機混成ポリマー及び有機ポリマーは、二酸化珪素よりも開放した分子格子及び分子成分におけるより低分極の結合のために本質的に低−k誘電体である。これらの材料は、広範囲の低k値を提供することができる。これらの膜は、通常スピンコーティングによって付加されるが、一部はまた、CVD法によっても堆積させることができる。スパン膜は、硬化処理を受けて過剰の溶媒を消散させ、化学反応を完結させ、かつ緻密化を受ける必要がある。二酸化珪素と比較して、これらの膜は、一般的に機械的に軟質であり、かつ熱安定性に乏しい。それらはまた、水分を吸収する傾向もあり、従って、それらを保護するために付加的なキャップ層を要する場合が多い。異なる性質のために、従来型の処理において多くの制限があり、これらの膜をプロセス統合に適合させるために変更が頻繁に必要とされる。従って、幅広い使用は知られていない。
近年、この業界は、十分に低い誘電率を有し、同時に90nm世代及びその次に対する強力な統合のための全ての広範な要件を満足する完全に緻密なスピンオン又はCVD材料は存在しないと結論するに至った。誘電率は、ホストマトリックス密度に比例して増減するので、絶縁体への空隙の導入により誘電率を低下させる可能性の利用に注目が移された。
ゾル−ゲル技術は、シリカ網状組織内にドーパントを組込んで多孔質テンプレートを形成するための柔軟な手段を提供することが公知である。しかし、ゾル−ゲル技術は、正確なゲル化及び乾燥を必要とする。それらの処理、処理制御、及び統合スケジュールの異なるモードは、半導体素子製造に適合しない。これらの膜の多くはまた、k−値の低下に伴って機械的特性の劣化も呈する。
誘電体に空隙容積を導入するためのより適応性のある手法は、犠牲ポロゲンの使用とされてきた[例えば、米国特許第6,271,273号及び米国特許第6,451,712号を参照されたい]。ポロゲンと呼ぶ熱的に不安定な材料は、オルガノシリケートポリマーと配合され、従来型のスピンオン誘電体におけるような膜を形成するために付加される。この膜は硬化され、次に焼き鈍し段階を受けてポロゲンを気化させ、同時に硬化膜の多孔質骨格組織を形成する。この熱分解技術に不可欠なことは以下の通りである。第1に、ポロゲンは、熱硬化マトリックスから分離しなければならず、かつ焼き鈍し段階中に何の残留物を残さずに分解し、並びに完全に除去されるべきである。第2に、ポロゲンの分解は、多孔質構造のいかなる崩壊も誘発せずにホストのガラス転移温度よりも低温で行われるべきである。第3に、膜応力の変化は、いかなる膜の亀裂又は剥離の引き起こすことなくポロゲンの相分離及び熱的排出中に注意深く管理されるべきである。本方法を用いて形成された多孔質膜は、通常、最小の孔隙が20nm程度である広い孔隙サイズ分布を有する。
ポロゲンの概念はまた、CVD技術でも利用されてきた[例えば、米国特許第6,054,206号及び米国特許第6,171,945号を参照されたい]。熱的に不安定なレービル有機基が、オルガノシリケートガラスに堆積される。次に、膜は、焼き鈍しされてレービル有機成分が気化し、多孔質構造がもたらされる。代替的な電子ビーム処理[例えば、米国特許第6,737,365号を参照されたい]又は紫外線露光[例えば、米国特許出願第20040096672号を参照されたい]はまた、これらの化学種の除去に有効であり、更にホスト材料の架橋を強化すると報告されている。一般的には、離れる有機基のサイズに釣り合った孔隙を有するナノ多孔質膜がこの手法で得られる。ナノ多孔質マトリックスは、その後の処理において良好な機械的及び熱的安定性を提供するとされている。しかし、他のポロゲン技術と同様に、このCVD技術は、有機化学種の揮発に基づいており、除去されるはずの有機化学種が完全に除去されない場合に、残りの気体放出に関する懸念がある。更に、炭素含有酸化物膜の処理に関連するプロセス統合の問題は、上述のように依然として残っている。
現在まで、低−k膜の開発は継続している。本発明の目的は、超低−k範囲にまで拡張可能な多孔質低−k誘電膜を作成するためのCVD処理方法を作り出すことである。この膜は、二酸化珪素のものと同様に化学的、機械的、及び熱的に安定であることが望ましい。プロセス統合要件は、従来の技術に比較した時に過剰でなく高価でないことが更に望ましい。
米国特許第6,271,273号 米国特許第6,451,712号 米国特許第6,054,206号 米国特許第6,171,945号 米国特許第6,737,365号 米国特許出願第20040096672号 米国特許第6,007,675号 K.Maex,M.R.Baclanov,D.Shamiryan,F.Iacopi,S.H.Brongersma,及びZ.S.Yanovitskaya、「J.App.Phys.」、93巻、11号、8793−8841頁 S.Wolf著「VLSI時代のシリコン処理、第4巻:大きくミクロン以下の処理技術」、Lattice Press、カリフォルニア州サンセットビーチ、2002年、639−670頁
本発明は、一般的には多孔質膜を形成する方法に関する。より具体的には、本発明は、半導体集積回路を製造するために多孔質シリカ膜又はドープシリカ膜を基板上に形成する方法に関する。開示する方法は、循環処理手法を用いて膜を堆積させる。各サイクルにおいて、シリカ及びシリコン又はドープされたシリカ及びシリコンの薄層が最初に共堆積される。(この共堆積膜は、シリコン豊富酸化物又はシリコン豊富ドープ酸化物と一般的に見ることができる。)次に、この膜は、共堆積物内のシリカよりもシリコンを選択的に除去することができる化学反応剤に露出され、多孔質構造体が残される。この処理段階が交互に反復され、膜の厚みが増大される。
各層の孔隙サイズ及び孔隙分布は、取り込まれた犠牲シリコンの量及びその層内にシリコンが分散される方法によって判断される。次の各堆積段階は、先に生成された多孔質層上に共堆積物の層を配置し、それに続く選択的シリコン除去段階は、多孔質構造体を原位置で成長させる。従って、本発明に開示する循環方法により、有利な態様においては、各サイクルで処理条件を調整することによって望ましい空隙率プロフィールをシリカ膜にもたらすことができる。
本発明には3つの顕著な特徴があり、それらは、1)シリカ(あるいは、一般的にホストマトリックス材料)のシリコンとの共堆積、2)シリコン除去段階中の選択的シリコン除去反応剤への共堆積膜の露出、及び3)共堆積及び選択的シリコン除去段階を促進して最適に制御するための方法である。
本発明の実施形態として、選択的シリコン除去反応剤は、有利な態様においては、フッ素、塩素、臭素、及びそれらのその誘導体を含む分子ハロゲン化物又はハロゲン化化学種の群から選択される。選択的シリコン除去反応剤はまた、水酸化カリウム、又はテトラメチルアンモニウム水酸化物、又はエチレンジアミンピロカテコール、又はそれらの類似物又はその誘導体を含有する溶液から生じた蒸気を蒸気と反応しないアルコールのような高蒸気圧搬送ガスと任意的に混合したものとすることができる。
本発明の更に別の実施形態として、選択的シリコン除去反応を促進する好ましい反応剤は、分子フッ素、2フッ化キセノン、及びそれらのその組合せから成る群から選択される。
本発明の好ましい実施において、堆積段階は、プラズマ強化化学気相蒸着(PECVD)技術によって行われる。反応剤流れは、シリカ及びシリコン又はドープシリカ及びシリコンのPECVDを促進するための当業者に公知の少なくとも1つのシリコン含有前駆体と他の付加的な化学反応剤とを含む共堆積混合物、並びに少なくとも1つの選択的シリコン除去反応剤を含む。
好ましい方法においては、RF電力調節を使用して、共堆積及び選択的シリコン除去の循環処理を促進する。RF電力がシリカ及びシリコンの堆積に最適なレベルである時、材料の堆積は進行する。RF電力が切られるか又は低い値に低減されると、共堆積をもたらす解離又はシリコン除去反応剤の解離によらず、あらゆる解離が発生しない。この時点で、シリコン除去反応剤の化学作用によって共堆積物からシリコンが選択的に除去され、多孔質シリカ構造体が残される。
本方法を用いて得られた多孔質シリカ膜は、共堆積物に分散されたシリコンの均一性及び分布に釣り合った均一に分散された小さいサイズの孔隙を有する。膜の孔隙サイズ及び空隙率プロフィールは、反応装置チャンバ設計と、反応剤混合物の成分の流量と、温度、圧力、RF電力、電極間隔のような堆積条件と、処理サイクル周波数及び負荷サイクルのような循環処理が行われる方法に関するパラメータとによって判断される。
本発明の他の実施形態は、特許請求の範囲に開示している。本発明は、ドーパント成分が選択的シリコン除去反応剤と有意に反応せず、又は仮に反応しても残留反応生成物が無害であり又は膜の性質を強化するのに望ましいものであれば、多孔質ドープシリカ膜を形成するためにも一般的に適用可能である。同様に、ドーパントは、共堆積中にシリカ内に取り込まれる。本発明は、更に、シリコンと共堆積することができて選択的シリコン除去反応剤に対して比較的化学的に不活性であるあらゆるホストマトリックス材料の多孔質膜を形成するためにも一般的に適用可能である。
本明細書に説明する処理方法は、半導体集積回路を製造するための多孔質低−k誘電膜を取得する手段を提供する。本方法はまた、以下に限定されるものではないが、半導体、新型パッケージ、エネルギ貯蔵、及び新型マイクロシステムを含む分野における他の用途のための他の多孔質構造体を製造するのに有利である。
本発明の教示は、詳細説明を添付図面と併せて考察することによって容易に理解することができる。
本発明は、半導体集積回路製造に関して基板上に多孔質シリカ膜又はドープシリカ膜を形成するための処理方法を提供する。本方法は、本発明の開示に説明した特徴を用いて他のホストマトリックス材料の多孔質膜を形成するためにも一般的に適用可能である。説明を簡単にするために、主として多孔質シリカ膜に注目して説明する。
本発明によれば、本方法は、膜を形成するための循環処理方式を含む。シリカ及びシリコン又はドープシリカ及びシリコンの薄層が、最初に共堆積される。(この共堆積膜は、一般的に、シリコン豊富酸化物又はシリコン豊富ドープ酸化物として見ることができる。)次に、シリコンが共堆積物から選択的に除去され、多孔質シリカ構造体が生成される。この処理段階が交互に反復され、膜の厚みが増大される。以下の説明では、本発明の条件及び実施を更に詳述する。
明確にするために、共堆積中に膜内に取り込まれた格子間シリコン又は水素又は水酸基と結合したシリコンのようなシリカに緩く結合したあらゆるシリコン、又はシリコンに共有結合したあらゆるシリコン、又は元素シリコンを本明細書では膜内の「シリコン」と呼ぶことにする。酸素に完全に又は部分的に結合したシリコンを含有するあらゆる酸化シリコンを膜内の「シリカ」と呼ぶことにする。更に、用語「シリカ」は、特に明記しない限り、非ドープ又は他の成分でドープした全ての種類の酸化シリコンを呼ぶのに可換的に使用される。シリコン豊富酸化物は、シリカとシリコンの共堆積物と見なされる。用語「ホストマトリックス」は、多孔質構造体の中実物質を称し、「ホストマトリックス材料」は、多孔質構造体を構成する材料を称する。
図1は、このサイクル処理の単純化した流れ図であり、図2Aから図2Iは、膜形成の様々な段階での処理された膜の概略断面図である。これらの断面の表現は、説明目的のみのために単純化され、共堆積膜内の実際の成分配列として解釈されるべきではない。
処理は、反応チャンバ内の基板を用いて開始される。図2Aにおいて、出発基板は、何らかの予備処理を受けたシリコンウェーハ202として示されている。予備処理の結果は、シリコンウェーハ上の結合構造体204によって略示されている。204の表面は、半導体素子製造での低−k誘電体堆積の段階に入る処理ウェーハのための典型的な出発表面である。代替的に、表面204は、シリコンウェーハの裸表面を含む他の用途のためのあらゆるものとすることができる。
段階104で、最初の処理パラメータがシリカ及びシリコンの薄層を共堆積させるように設定される。段階106で堆積が行われ、シリカ208内に分散されたシリコン206を含む共堆積膜220が生成される。これは、図2Bに図解的に示されている。
次の段階108において、シリコン206は、共堆積物220から選択的に除去される。図2Cは、残っているシリカ208のみを有する開放シリカマトリックス230を説明する断面を示している。
要求される膜の厚みを形成するために、通常は1つよりも多いサイクルが必要とされ、そのために段階106及び段階108は、次のサイクルで連続して反復される。次のサイクルの段階106により、同じ共堆積層220が、先に生成された開放シリカマトリックス層230の上に形成され、開放空間を囲って図2Dに示すように孔隙215が形成される。図2Eは、その次の選択的除去段階108の後で共堆積物220内のシリコン206が除去されることを示している。反復された共堆積段階及び選択的除去段階は、ここで、より厚い多孔質シリカ膜を形成している。
図1での段階110は、要求される膜厚が達成されたかを判断する。達成されていなければ、共堆積段階及び選択的シリコン除去段階の同じ手順が反復され、多孔質膜の厚みが増大される。図2Aから図2Iは、4つのサイクルの完了による結果を図解的に示している。必要な時は、更に多くのサイクルを反復することができる。処理は、段階120で終了する。
各層での孔隙サイズ及び孔隙分布は、堆積物内のシリコンの分散及び取り込まれた犠牲シリコンの量で判断される。この特徴に照らすと、この循環処理は、変化した空隙率プロフィールを有する多孔質膜の取得についても柔軟性がある。図3Aから図3Iは、同じ出発表面204上で取得することができる変化した空隙率の膜を図解的に示している。層238は、層220よりも少ないシリコンを有することが図解的に示され、シリコン除去段階108の後に、層238は、層230よりも多孔性でない多孔質膜240を形成することになる。注意すべきこととして、図3Aから図3Iに描かれた空隙率プロフィールは、説明目的のみを意図し、本発明で取得することができる様々なプロフィールを限定するものでない。
図1での流れ図に示すように、各サイクルの後、異なるシリカ及びシリコン共堆積膜が配置されるように段階114で処理条件を再選択することができる。取り込まれたシリコンの異なる量は、層の空隙率を変化させることになる。図3B及び図3Iは、必要に応じて多孔質膜の厚みに沿ったどこにでも濃密なシリカ膜250を形成することができることを示している。その場合、共堆積段階でのシリコン取り込みを必要としない。
多孔質膜が原位置で完全に形成されるので、本発明の別の実施形態として、あらゆる付加的な製作処理段階を必要に応じてこの循環処理の前又は後に挿入することができる。図31に示す層250と同様に、同様に濃密なライナ層、キャップ層、エッチング停止層、又はいずれかの他の処理層を真空を中断することなく多孔質膜と共に堆積させることができる。これは、例えば2重ダマスク模様構造を形成する工程でのプロセス統合に関して特に有利である。
本発明に関しては、堆積後に気化されるポロゲンは存在しない。多孔質シリカマトリックスは、化学的には濃密な二酸化珪素と同様である。細かく分布した孔隙は、良好な機械的一体性と熱安定性を提供する。本方法を用いて、広範囲の低−k誘電体を取得することができる。
本発明における要点は、1)シリカ(あるいは、一般的にホストマトリックス材料)のシリコンとの共堆積、2)選択的シリコン除去段階中の選択的シリコン除去反応剤への共堆積膜の露出、及び3)共堆積及び選択的シリコン除去段階を調節するための循環処理の促進である。
多孔質膜を形成するための犠牲材料としてのシリコンの使用は、本発明における重要な態様である。シリコンとの共堆積は、多孔質構造がそこから成長するシリカのテンプレートを提供する。選択的シリコン除去反応剤は、構造が成長することを可能にする。シリコンと容易に化学的に反応することができるが多くの他の材料とは反応しないある一定の化学反応剤は、シリコン業界で公知である。従って、これらの異なる化学反応特性を本発明の選択的シリコン除去段階を促進するために利用することができる。
本発明に関して、シリコン除去反応剤は、有利な態様においては、フッ素、塩素、臭素、及びそれらの誘導体を含む分子ハロゲン化物又はハロゲン化化学種の群から選択される。選択的シリコン除去反応剤は、水酸化カリウム、又はテトラメチルアンモニウム水酸化物、又はエチレンジアミンピロカテコール、又はそれらの類似物又はそれらの誘導体を含有する溶液から生じた蒸気を蒸気と反応しないアルコールのような高蒸気圧の搬送ガスと任意的に混合したものからも選択することができる。
本発明に関して、好ましい選択的シリコン除去反応剤は、分子フッ素(F2)、2フッ化キセノン(XeF2)、及びそれらのその組合せから成る群から選択される。
本発明の別の重要な態様は、共堆積及び選択的シリコン除去段階を調節するための循環処理の促進である。膜の要求される特性に基づいて、本発明を実施するいくつかの方法がある。当業者は、本発明の精神から逸脱しないサイクル処理を実施するための堆積の代替的方法及び多くの形式を理解している。
本発明の好ましい実施形態では、共堆積は、プラズマ強化化学気相蒸着技術を用いて提供される。対称平行プレート構成の13.56MHzでの高周波(RF)電源を有するPECVD反応装置が、処理チャンバ内での堆積のためのプラズマを発生させるために使用される。反応剤流れは、共堆積反応剤混合物と1つ又はそれよりも多くの選択的シリコン除去反応剤とを含む。断続的なRF電力を使用して、共堆積段階と選択的シリコン除去段階を調節する。同じ反応剤流れが、これらの両方の段階中に処理チャンバに供給される。
図4は、RF電力変調制御における重要な特徴を示している。説明を簡単にするために、この図においては、RF電力波形が矩形パルス列として表されている。実際には、他の波形もまた使用することができる。波形は、サイクル周波数、サイクル周期、及び負荷サイクルによって特徴付けられる。サイクル周波数は、サイクル周期の逆数であり、負荷サイクルは、共堆積が発生するサイクル周期内の時間の割合である。
図4において、共堆積段階及び選択的シリコン除去段階から成る処理サイクルを示している。共堆積中は、RF電力はPdで作動する。この電力レベルでは、RF電力は、シリカ及びシリコン両方の共堆積のための前駆体を解離させるほど十分に高い。サイクルの残りの部分については、RF電力レベルは、切られるか又は堆積が阻止されるような低いレベルに設定される。その電力レベルは、シリカ及びシリコンの堆積のための前駆体が解離できないほど十分に低くあるべきであるのみならず、選択的シリコン除去反応剤を解離することができる電力レベルであるPfよりも低くなければならない。図4は、選択的シリコン除去段階中はゼロに設定された電力レベルを有する波形を任意的に示している。
同時RECVDでのシリカ及びシリコン形成を促進するために、共堆積反応剤混合物は、少なくとも1つのシリコン含有前駆体及び1つの酸素含有前駆体を含まなくてはならない。PECVD技術の当業者は、適切な化学物質の様々な組合せを理解しているので、前駆体の選択をここで詳述する必要はない。しかし、本発明のための好ましい処理において以下の共堆積混合物を組み込むことになる。好ましい共堆積混合物は、テトラエチルオルトシリケート(TEOS)を含むか又は含まず、水素(H2)又はアルゴン(Ar)又は他の不活性ガスを含むか又は含まないで、シラン(SrH4)、亜酸化窒素(N2O)を含む。
従来型のシリコン豊富PECVD酸化シリコンの場合のように、シリカ−シリコン共堆積物は、シリカ及び一部の格子間シリコン原子と、相互に共有結合したシリコンと、水素又は水酸基と弱く結合したシリコンなどを含有することになる。この共堆積物が選択的シリコン除去反応剤に露出されると、反応剤は、シリコンを化学的にエッチングすることになり、一方でシリカは、殆ど損なわれずに残留することになる。この化学反応は、処理温度及び処理チャンバ内の選択的シリコン除去反応剤の濃度によって定まる速度で進行することになる。
本発明者の好ましい実施では、同じ反応剤混合物が、共堆積段階及び選択的シリコン除去段階中に反応チャンバ内に連続的に供給される。従って、共堆積反応は、選択的シリコン除去反応剤の存在の下で行われることになる。RF電力のある場合には、選択的シリコン除去反応剤は、解離してプラズマ反応に参加することになる。それは、膜に取り込まれる場合さえある。例えば、フッ素が選択的シリコン除去反応剤として使用される時、共堆積中に幾らかのフッ素化酸化物もまた形成されることになる。(このフッ素化酸化物は、シリカの誘電率を更に低下させるのに役立つことになる)。更に、共堆積処理条件によっては、これらのラジカルは、堆積したシリコン及び酸化物の一部をエッチング除去することになる。全てのこれらの反応に鑑みて、適正な速度での選択的シリコン除去を促進するために適正な濃度の選択的シリコン除去反応剤が給送流れ内に存在すべきであると同時に、この濃度を、共堆積段階中の正味の結果が堆積であるように共堆積条件に対応して低く保つことが重要である。
共堆積及びシリコン除去の処理条件の外に、サイクル周波数及び負荷サイクルもまた多孔質膜の成長にある一定の役割を果たす。選択的シリコン除去段階の持続時間は、同じサイクルで堆積したシリコンの一部又は全部を除去するのに十分長く通常は設定される。厚すぎる層がサイクル内で堆積した場合、シリコン除去反応剤は、シリコンを除去するために厚い共堆積物を通過して作用すべきであることになる。それは効率的ではなく、時にはそのことがフッ素のような小さい分子についてであっても、反応するために材料内に遠く拡散するのに有効でない場合がある。他方で、特に高空隙率の膜を構成するために多量のシリコン取り込みが要求される場合には、薄い層を堆積することもまた必ずしも望ましいとは限らない。薄すぎる層は、シリコン除去の後に疎らなシリカマトリックスをもたらすことになる。次のサイクルでの共堆積は、その空隙を満たすことができる。従って、処理サイクル周波数及び負荷サイクルは、望ましい孔隙サイズ及び空隙率プロフィールが取得することができるように共堆積速度及び選択的シリコン除去速度に関連して設定されるべきである。
別の実施形態として、本発明で提供する循環式方法は、ナノサイズ孔隙を有する多孔質シリカ膜又はドープシリカ膜を設計製作するために使用することができる。その可能性は次の一例で示される。シリコンが400オングストローム/分の見かけ1速度で共堆積され、かつシリカが1000オングストローム/分の見掛け速度で共堆積される場合、処理サイクル周波数が3Hzで50%の負荷サイクルであれば(すなわち、共堆積持続時間は0.167秒である)、各サイクルの範囲内では僅か数オングストロームの共堆積物が形成される。こうした条件の下では、分散されたシリコン原子のサイズは、共堆積層の厚みと同程度であることになる。これは、シリコン原子が選択的に除去された時に、同じサイズの分散開口部を生じさせることになる。次のサイクルで形成された共堆積物は、これらの開口部を覆ってそのすぐ下に空間を囲い込む。本方法は、有利なナノサイズの孔隙を有する膜を生成することになる。このようにして、0.3nmから50nmにわたる孔隙サイズ及び0.5%から90%にわたる空隙率を有する多孔質膜を本方法を用いて取得することができる。
1見かけ堆積速度は、所定の時間間隔内で堆積したシリカとシリコンの相対量から計算することができる。例えば、共堆積層内のシリカ及びシリコンの相対量は、SIMS(2次イオン質量スペクトル法)分析及びFTIR(フーリエ変換赤外線)吸収スペクトルによって測定されるシリコン及び酸素の相対量から推定することができる。
上述のPECVD処理を実施する条件を要約して、以下の更に別の実施形態が含められる。好ましい反応剤流れは、テトラエチルオルトシリケート(TEOS)を含むか又は含まず、水素(H2)又はアルゴン(Ar)又は他の不活性ガスを含むか又は含まないで、シラン、亜酸化窒素を含む。シラン対亜酸化窒素の流量比は、0.005から100である。給送流れは、0.1%から50%の分子フッ素又は2フッ化キセノン又はそれらのその組合せもまた含有する。堆積中に、PECVDチャンバは、1.33〜1999.84N/m 2 (0.01トルから15トル)の圧力に維持され、電極間隔は、0.00254〜0.0762m(0.1インチから3インチ)、基板温度は、25℃から500℃、かつRF電力密度は、0.01W/cm2から5W/cm2である。選択的シリコン除去段階は、共堆積段階と同じ圧力及び温度で行われる。13.56MHzのRF電力が、処理サイクル周波数0.0005Hzから500Hzで供給され、共堆積負荷サイクルは、1%から99%の範囲である。明確には、ここでは、対称型平行プレート反応装置のRF電力密度は、カソード又はアノードの面積の2倍で除したRF電力として定められる。
より好ましくは、PECVD処理は、シランを亜酸化窒素に対して0.01から30の流量比率で含有する給送流れで行われる。給送流れは、1%から30%の分子フッ素、2フッ化キセノン、又はそれらのその組合せもまた含有する。堆積中に、PECVDチャンバは、13.33〜1333.22N/m 2 (0.1トルから10トル)の圧力に維持され、電極間隔は、0.00762〜0.0381m(0.3インチから1.5インチ)、基板温度は、300℃から400℃、RF電力密度は、0.2W/cm2から1.0W/cm2である。13.56MHzのRF電力が、処理サイクル周波数0.1Hzから10Hzで供給され、共堆積負荷サイクルは、5%から70%の範囲である。
言うまでもなく、本発明のこの好ましい実施例は、RF電力変調制御を備えたPECVDシステムで容易に実施することができる。PECVDシステムでRF電力変調制御が使用できない時は、この循環処理中のRF電力開閉を模擬する一連の処理処方段階を使用することができる。代替的に、RF電力送出ハードウエアをタイミング回路を用いて変更することができ、又は堆積のために送出されるRF電力が上述にように間欠的に切断又は低レベルに落とされるようにするための手段が提供されるようにシステムを変更することができる。任意選択肢として、シリコン除去反応剤と共堆積反応剤混合物は、共堆積段階及びシリコン除去段階のために単一反応剤給送流れとして一緒に導入することができる。
従来型のPECVD反応装置で本発明を実施する別の方法は、共堆積段階と選択的シリコン除去段階とを2つの独立した処理プログラムとして別々に行うことである。これらの2つの処理は、同じチャンバ内でサイクル処理を模擬して交互に行われることになる。共堆積は、従来型PECVDと同様に行われるが、選択的シリコン除去段階中にはRF電力は印加されない。共堆積反応剤及び選択的シリコン除去反応剤は、それらのそれぞれの処理中に別々に供給することができる。
代替的に、一連の処理のための複数の段階を有するチャンバ設計において[例えば、米国特許第6,007,675号を参照されたい]、本発明を実施するための別の方法は、共堆積段階の後に同一の反応装置内の別の段階に基板を移送することである。RF電力は、共堆積が行われている段階でのみ印加される。このシナリオでは、一方が共堆積を受け、一方が選択的シリコン除去を受ける少なくとも2つのウェーハは、異なる段階ではあるが同じ給送流れを用いて同じ反応装置内で同時に一緒に処理されることになる。
本発明を実施する更に別の方法は、共堆積段階と選択的シリコン除去段階をクラスターツール内の別々のチャンバ内で行うことである。基板が共堆積及び選択的シリコン除去の一連の処理を受けるように、基板は、2つのチャンバの間を移送される。
分離されたチャンバ処理を用いて、共堆積物を形成することができるあらゆる堆積方法を本発明の循環処理を実施するために使用することができる。一部の方法は、物理蒸着法、熱化学気相蒸着法、スピンコーティング、及びその他とすることができる。実際に、この実施は、シリカ−シリコン共堆積物が形成され、その共堆積物が選択的シリコン除去反応剤を含有する雰囲気に露出されてシリコンが除去されさえすればいかなる設定にも拡張することができる。多孔質膜の厚みを成長させるために必要に応じて同一の処理シーケンスを反復することができる。
全てのこれら上述の実施において、実施される処理サイクル周波数及び負荷サイクルの同じ範囲を可能にするのにそれらの全てが同等ではないことに注意することは重要である。従って、各実施の適用性は、望ましく選択された特定の処理化学及び処理条件に依存する。本発明者は、PECVD構成でのRF振幅変調の使用は、本発明の効率的な実施のための共堆積及び選択的シリコン除去環境に関する最も汎用性のある手段を提供すると考えている。
最後に、本明細書で示した説明は、本方法を多孔質シリカ膜の形成、又は多孔質フッ素−ドープシリカ、多孔質炭素−ドープシリカ、多孔質燐−ドープシリカ、多孔質水素シルセスキオキサン、多孔質メチルシルセスキオキサン、多孔質ホウ素−ドープシリカ、又は多孔質ホウ素−燐−ドープシリカなどのような多孔質ドープシリカ膜の形成に関して区別しない。実際に、この説明は、多孔質窒化珪素、多孔質酸窒化珪素、多孔質炭化珪素、多孔質窒化ホウ素、多孔質酸窒化ホウ素、多孔質酸化アルミニウム、多孔質窒化アルミニウム、又は多孔質酸窒化アルミニウムなどのような他の多孔質膜の形成に関して区別しない。繰り返すと、本発明における特徴は、シリコンとの共堆積及び犠牲シリコンの選択的除去である。従って、本発明の範囲は、多孔質シリカ膜の形成のみならず、選択的シリコン除去反応剤に対して比較的不活性である無機又は有機又はその組合せであるホストマトリックス材料の他の多孔質膜もまた網羅している。構成膜材料の一部が選択的シリコン除去反応剤と反応する場合であっても、多孔質膜が形成される限りは、その実施は、本発明の範囲内である。
一例として、多孔質炭素−ドープシリカ膜は、シリカ−シリコン膜内での炭素含有化学種の共堆積によって本発明を用いて形成することができる。フッ素のような選択的シリコン除去反応剤の使用は、シリコン除去段階中に膜内の炭素の一部を攻撃してフルオロカーボン化学種を形成させることになる。膜組成及び処理条件に基づいて、反応生成物は揮発性であってフッ化シリコンと同時に除去することができる可能性があり、又はそれらは不揮発性であって膜内にフルオロカーボン成分を残す可能性がある。(C−F結合は、膜の疎水性を提供するのに有利であることに注意されたい。)膜の望ましさは判断されることになるが、シリコン共堆積及び選択的シリコン除去の基本的特徴が多孔質膜を形成させる結果をもたらす限り、その実施は本発明の範囲に含まれる。
ここから、図5において、本発明の基本概念を用いる多孔質膜の形成に関する一般的な流れ図を更に示す。図1に示す処理フローに類似しているが、段階106の代わりに、段階506においては、共堆積は、全ての成分の膜材料とシリコンとを取り込む。段階508においては、選択的シリコン除去反応剤が、共堆積物からシリコンを除去する。膜内の他の成分もまた選択的シリコン除去反応剤と反応してもよいが、望ましいホストマトリックス材料を構成する成分は、選択的シリコン除去反応剤に対して比較的不活性のままで残留すべきである。シリカ膜に適用されるのと同じ実施形態が、これらの場合に適用される。
要約すれば、本発明を実施して、半導体集積回路の製造を目的とした広範囲の低い誘電率を有する多孔質シリカ膜及びドープシリカ膜を提供することができる。同じ循環処理方法をより一般的に拡張し、犠牲材料としてシリコンを使用し、かつシリコンを除去して多孔質膜を形成するために少なくとも1つの選択的シリコン除去反応剤を使用する他の多孔質膜を提供することができる。本方法は、以下に限定されるものではないが、半導体、新型パッケージ、エネルギ貯蔵、及び新型マイクロシステムを含む多くの用途での使用に有利である。
以上の説明は本発明の実施形態に関するものであるが、本発明の他の及び更に別の実施形態を本発明の基本的範囲を逸脱せずに考案することができる。例えば、キロヘルツ範囲の低RF周波数から又は13.56MHz以外のメガヘルツの周波数範囲から発生されるプラズマの使用、混合周波数RF電力の使用、又は容量的又は誘導的に結合されるか又は結合されないかに関わらずプラズマを発生させる異なる方法は、全て本発明で具現化される同じPECVD実施の他の適応と考えるべきである。露出膜表面を活性化するか又は膜特性を改質するためのプラズマ、電子ビーム、イオンビーム、紫外線、化学薬品、又は熱処理段階を含むあらゆる堆積前又は堆積後処理の追加のような循環処理の変形は、本発明の精神から逸脱しないことに更に注意されたい。膜特性を強化するか又はシリコン除去反応を助ける付加的な材料の堆積も本発明の精神から逸脱しない。
各サイクルの後に膜の空隙率プロフィール成長を調整するために処理条件を変更することができる、シリカ−シリコン共堆積に引き続き共堆積物層のシリコンを選択的に除去して多孔質シリカ膜を形成する連続的交互段階を示す流れ図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環共堆積及び選択的シリコン除去処理による例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 本発明の循環処理を用いて取得することができる変化した空隙率プロフィールを有する例示的な多孔質膜の成長の概略図である。 処理サイクル周波数、サイクル周期、負荷サイクルを定め、かつ例示的なRF電力送出波形のRF電力レベルを示す概略図である。 膜材料とのシリコン共堆積及びそれに続く選択的シリコン除去の交互段階の反復に基づいて他の多孔質膜を形成する一般的な循環処理方式を示し、かつ本発明のより広範な範囲としてこの一般的な処理を主張する流れ図である。
符号の説明
110 要求される膜厚が達成されたかを判断する段階
114 処理条件を再選択することができる段階

Claims (37)

  1. 複数の処理サイクルを含む、基板上にホストマトリックス材料の多孔質膜を堆積させる方法であって、
    各サイクルが、
    ホストマトリックス材料をシリコンと共に共堆積させる段階と、
    共堆積の後に、共堆積物内の前記シリコンが選択的シリコン除去反応剤により化学的に除去されて該共堆積物に孔隙が形成されるように、該共堆積物を少なくとも1つの選択的シリコン除去反応剤を含む反応雰囲気に露出する段階と、
    を含み、
    それによって前記共堆積段階及び前記選択的シリコン除去段階の実行の反復が、多孔質膜の厚みを増大させる、
    ことを特徴とする方法。
  2. 前記共堆積段階は、スピンコーティングと、熱化学気相蒸着と、物理蒸着と、高周波からマイクロ波のスペクトルに及ぶ電磁エネルギによって補助された堆積技術とを含む群から選択された堆積技術を用いて行われることを特徴とする請求項1に記載の方法。
  3. 複数の処理サイクルを含む、真空環境で基板上にホストマトリックス材料の多孔質膜を堆積させる方法であって、
    各サイクルが、
    プラズマ強化の補助の有無によらず化学気相蒸着技術によってホストマトリックス材料をシリコンと共に共堆積させる段階と、
    共堆積の後に、共堆積物内の前記シリコンが選択的シリコン除去反応剤により化学的に除去されて該共堆積物に孔隙が形成されるように、該共堆積物を少なくとも1つの選択的シリコン除去反応剤を含む反応雰囲気に露出する段階と、
    を含み、
    それによって前記共堆積段階及び前記選択的シリコン除去段階の実行の反復が、多孔質膜の厚みを増大させる、
    ことを特徴とする方法。
  4. 真空環境で基板上にホストマトリックス材料の多孔質膜を堆積させるためのプラズマ強化化学気相蒸着技術に基づく方法であって、
    真空環境に基板を準備する段階と、
    膜ホストマトリックス材料のPECVD及びシリコンのPECVDを促進するための当業者に公知の化学反応剤を含む前駆体を前記真空環境の中に導入する段階と、
    少なくとも1つの選択的シリコン除去反応剤を前記真空環境の中に更に導入する段階と、
    RF電力変調を前記反応剤の混合物に印加して複数の処理サイクルの作動を制御し、各変調サイクル中に、シリコンとの前記ホストマトリックス材料のPECVD共堆積が最初に進行し、続いて共堆積物内の該シリコンの化学的除去が行われて該共堆積物に孔隙を形成する段階と、
    を含むことを特徴とする方法。
  5. 前記変調サイクル内のある一定の持続時間にわたる前記RF電力レベルは、前記膜ホストマトリックス材料の前記堆積及びシリコンの前記堆積の両方に対して適切なレベルに設定され、同じサイクル内のその後の持続期間にわたる該RF電力レベルは、切られるか又は前記選択的シリコン除去反応剤の発生しうる任意の堆積又は解離に要求されるレベルよりも低いレベルまで低減されることを特徴とする請求項4に記載の方法。
  6. 前記膜ホストマトリックス材料は、無機又は有機材料又はその組合せであることを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
  7. 前記膜ホストマトリックス材料は、シリカ、炭素−ドープシリカ、フッ素−ドープシリカ、ホウ素−ドープシリカ、燐−ドープシリカ、ホウ素−燐−ドープシリカ、ゲルマニウム−ドープシリカ、水素シルセスキオキサン、メチルシルセスキオキサン、窒化珪素、酸窒化珪素、炭化珪素、酸化アルミニウム、窒化アルミニウム、酸窒化アルミニウム、窒化ホウ素、酸窒化ホウ素、及びその組合せから成る群のメンバであることを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
  8. 前記選択的シリコン除去反応剤は、フッ素、塩素、臭素、及びそれらのその誘導体を含む分子ハロゲン化物及びハロゲン化化学種から成る群から選択されることを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
  9. 前記選択的シリコン除去反応剤は、分子フッ素、2フッ化キセノン、及びそれらのその組合せから成る群から選択されることを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
  10. 前記選択的シリコン除去反応剤は、水酸化カリウム、テトラメチルアンモニウム水酸化物、エチレンジアミンピロカテコール、及びそれらのその誘導体から成る群から選択された少なくとも化学物質を含有する溶液から生じた蒸気を前記選択的シリコン除去反応剤に対して化学的に不活性な高蒸気圧搬送ガスと任意的に混合したものであることを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
  11. 前記共堆積段階及び前記選択的シリコン除去段階は、同じクラスターツールで別々の処理チャンバで行われることを特徴とする請求項1又は請求項3に記載の方法。
  12. 前記共堆積段階及び前記選択的シリコン除去段階は、同じ処理チャンバで行われることを特徴とする請求項1又は請求項3に記載の方法。
  13. プログラムに一連の処理段階を含む処方プログラムを用いるPECVDシステムにおいて実行されて、前記共堆積段階及び前記選択的シリコン除去段階の循環的実行を促進することを特徴とする請求項3に記載の方法。
  14. 前記共堆積段階がRF電力を備えたPECVDによる1つの段階で行われ、前記選択的シリコン除去段階がRF電力なしの異なる段階で行われる多段反応装置の別々の段階で行われることを特徴とする請求項3に記載の方法。
  15. RF電力変調機能を備えたPECVDシステムにおいて行われることを特徴とする請求項4に記載の方法。
  16. RF電力を要する前記共堆積段階と、RF電力を要しないが前記選択的シリコン除去反応剤の解離に要求されるレベルよりも低いRF電力のレベルに適合することができる前記選択的シリコン除去段階との循環的実行を促進するためにRF電力レベル変更の制御を可能にする手段を提供するように修正されたPECVDシステムにおいて行われることを特徴とする請求項4に記載の方法。
  17. 前記RF電力は、0.0005Hzから500Hzの処理サイクル周波数で1%から99%に及ぶ共堆積負荷サイクルを用いて送出されることを特徴とする請求項4に記載の方法。
  18. 前記PECVD条件は、単一周波数モードにおける100kHzから100MHzの範囲のRF励起周波数を含むことを特徴とする請求項3又は請求項4に記載の方法。
  19. 前記PECVD条件は、混合周波数モードにおける100kHzから100MHzの範囲のRF励起周波数を含むことを特徴とする請求項3又は請求項4に記載の方法。
  20. 前記共堆積は、0.01と5W/cm2の間のRF電力密度を用いて行われることを特徴とする請求項3又は請求項4に記載の方法。
  21. 前記共堆積は、0.00254〜0.0762m(0.1と3インチの間)に保持された電極間隔を用いて行われることを特徴とする請求項3又は請求項4に記載の方法。
  22. 前記共堆積は、25℃と500℃の間に保持された基板温度を用いて行われることを特徴とする請求項3又は請求項4に記載の方法。
  23. 前記共堆積は、1.33〜1999.84N/m2(0.01と15トルの間)に維持されたチャンバ圧力を用いて行われることを特徴とする請求項3又は請求項4に記載の方法。
  24. 前記選択的シリコン除去は、25℃と500℃の間の温度で行われることを特徴とする請求項1又は請求項3に記載の方法。
  25. 前記選択的シリコン除去は、1.33〜93325.66N/m2(0.01と700トルの間)に維持されたチャンバ圧力で行われることを特徴とする請求項1又は請求項3に記載の方法。
  26. 前記共堆積反応剤混合物は、シランと亜酸化窒素とを、シランの流量対亜酸化窒素の流量が0.005と100の間である流量比で含み、任意的に、アルゴン、水素、ヘリウム、又はそれらのその組合せから成る群から選択された共堆積中のイオン衝撃を修正することができる気体を含むことを特徴とする請求項3又は請求項4に記載の方法。
  27. 前記処理チャンバにおける前記選択的シリコン除去反応剤の濃度は、0.1%と100%の間であることを特徴とする請求項1又は請求項3に記載の方法。
  28. 前記選択的シリコン除去段階のための前記反応剤の流れは、分子フッ素を0.1%と100%の間の濃度で含むことを特徴とする請求項1又は請求項3に記載の方法。
  29. 前記反応剤混合物における前記選択的シリコン除去反応剤の濃度は、0.1%と50%の間であることを特徴とする請求項4に記載の方法。
  30. 前記反応剤混合物は、分子フッ素を1%と30%の間の濃度で含むことを特徴とする請求項4に記載の方法。
  31. 多孔質膜の空隙率プロフィールを変更するために前記共堆積サイクル及び選択的シリコン除去サイクルの1つ又はそれより多数に対して異なる処理条件が使用されることを特徴とする、多孔質膜を製造するための請求項1、請求項3、又は請求項4に記載の方法。
  32. 孔隙サイズが0.3nmから50nmに及ぶ多孔質膜を製造するための請求項1、請求項3、又は請求項4に記載の方法。
  33. 空隙率が0.5%から90%の多孔質膜を製造するための請求項1、請求項3、又は請求項4に記載の方法。
  34. 前記処理の選択されたサイクル間隔で実行され、プラズマ、電子ビーム、イオンビーム、電磁放射線、化学薬品、又は熱露出を含む群から選択される少なくとも1つの追加処理段階を更に含むことを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
  35. 真空を中断することなく、同じ堆積チャンバにおいて、前記多孔質膜を堆積させる段階の前に前記基板上にライナ層を堆積させる段階を更に含むことを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
  36. 真空を中断することなく、同じ堆積チャンバにおいて、キャップ層を前記多孔質膜の上に堆積させる段階を更に含むことを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
  37. 真空を中断することなく、同じ堆積チャンバにおいて、低kエッチング停止層を前記多孔質膜の上に堆積させる段階を更に含むことを特徴とする請求項1、請求項3、又は請求項4に記載の方法。
JP2007527982A 2004-08-17 2005-08-16 多孔質膜の堆積方法 Expired - Fee Related JP5342140B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/920,602 US7132374B2 (en) 2004-08-17 2004-08-17 Method for depositing porous films
US10/920,602 2004-08-17
PCT/US2005/029281 WO2006023579A1 (en) 2004-08-17 2005-08-16 Method for depositing porous films

Publications (2)

Publication Number Publication Date
JP2008511136A JP2008511136A (ja) 2008-04-10
JP5342140B2 true JP5342140B2 (ja) 2013-11-13

Family

ID=35910175

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007527982A Expired - Fee Related JP5342140B2 (ja) 2004-08-17 2005-08-16 多孔質膜の堆積方法

Country Status (6)

Country Link
US (2) US7132374B2 (ja)
EP (1) EP1794785B1 (ja)
JP (1) JP5342140B2 (ja)
CN (1) CN100483647C (ja)
TW (1) TWI413181B (ja)
WO (1) WO2006023579A1 (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7241704B1 (en) * 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
JP4737552B2 (ja) * 2004-07-22 2011-08-03 国立大学法人京都大学 フルオロカーボン膜及びその形成方法
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
JP4770549B2 (ja) * 2006-03-28 2011-09-14 パナソニック電工株式会社 赤外線センサ
US8043931B1 (en) * 2006-09-18 2011-10-25 Gang Zhang Methods for forming multi-layer silicon structures
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8080483B2 (en) * 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
DE102008011530B4 (de) 2008-02-28 2012-05-03 Carl Zeiss Sms Gmbh Verfahren zum Bearbeiten eines Objekts mit miniaturisierten Strukturen
DE102008011531B4 (de) 2008-02-28 2011-12-08 Carl Zeiss Sms Gmbh Verfahren zum Bearbeiten eines Objekts mit miniaturisierten Strukturen
EP2511764B1 (en) 2008-02-28 2020-04-01 Carl Zeiss SMT GmbH Method for processing an object with miniaturized structures
KR20110022036A (ko) * 2008-06-02 2011-03-04 맷슨 테크놀로지, 인크. 기판 처리방법
US20110111135A1 (en) * 2008-07-07 2011-05-12 Yuma Kamiyama Thin film manufacturing method and silicon material that can be used with said method
US20100151206A1 (en) * 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US20120244715A1 (en) * 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
IT1398443B1 (it) * 2010-02-26 2013-02-22 Lima Lto S P A Ora Limacorporate Spa Elemento protesico integrato
JP6049401B2 (ja) 2011-11-18 2016-12-21 キヤノン株式会社 光学部材、撮像装置及び光学部材の製造方法
JP5882689B2 (ja) 2011-11-18 2016-03-09 キヤノン株式会社 光学部材の製造方法及び撮像装置の製造方法
JP6016582B2 (ja) * 2011-12-15 2016-10-26 キヤノン株式会社 光学部材の製造方法
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
DE102013114767A1 (de) * 2013-12-23 2015-06-25 Universität Stuttgart Batterie und Verfahren zum Herstellen einer solchen
CN103935957A (zh) * 2014-05-07 2014-07-23 文力 具有微米孔的网状薄膜及制造方法
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
JP6499001B2 (ja) * 2015-04-20 2019-04-10 東京エレクトロン株式会社 多孔質膜をエッチングする方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
DE102017204258B4 (de) * 2017-03-14 2023-08-17 Schunk Kohlenstofftechnik Gmbh Verfahren zur Herstellung eines porösen Körpers
CN107316829B (zh) * 2017-07-06 2019-06-25 中北大学 基于tmah的气相刻蚀方法及气相刻蚀装置
US10544039B2 (en) * 2017-09-08 2020-01-28 Texas Instruments Incorporated Methods for depositing a measured amount of a species in a sealed cavity
CN109487234A (zh) * 2018-12-18 2019-03-19 湖北大学 超疏油涂层及其制备方法和应用

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54101273A (en) * 1978-01-26 1979-08-09 Nec Corp Manufacture for semiconductor device
JPH02187025A (ja) * 1989-01-13 1990-07-23 Sanyo Electric Co Ltd エッチング方法及びx線リソグラフィ用マスクの製造方法
JPH0846044A (ja) * 1994-07-29 1996-02-16 Nippon Steel Corp 半導体装置の製造方法
JP3586956B2 (ja) * 1996-02-22 2004-11-10 ソニー株式会社 絶縁膜の形成方法
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
JP3417239B2 (ja) * 1997-01-17 2003-06-16 三菱電機株式会社 マイクロエレクトロメカニカルデバイスの作製方法
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
CA2375138A1 (en) * 1999-06-03 2000-12-14 The Penn State Research Foundation Deposited thin film void-column network materials
JP2001351916A (ja) * 2000-06-07 2001-12-21 Matsushita Electric Ind Co Ltd シリコン酸化膜の形成方法
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6451712B1 (en) 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US7196422B2 (en) * 2001-12-14 2007-03-27 Intel Corporation Low-dielectric constant structure with a multilayer stack of thin films with pores
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6964919B2 (en) * 2002-08-12 2005-11-15 Intel Corporation Low-k dielectric film with good mechanical strength
JP3967253B2 (ja) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 多孔質絶縁膜の形成方法及び多孔質絶縁膜の形成装置
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6737365B1 (en) 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer

Also Published As

Publication number Publication date
US20060252278A1 (en) 2006-11-09
EP1794785A1 (en) 2007-06-13
US20060040507A1 (en) 2006-02-23
EP1794785A4 (en) 2010-04-14
TWI413181B (zh) 2013-10-21
EP1794785B1 (en) 2013-12-25
WO2006023579A1 (en) 2006-03-02
US7220685B2 (en) 2007-05-22
CN101015046A (zh) 2007-08-08
US7132374B2 (en) 2006-11-07
JP2008511136A (ja) 2008-04-10
TW200618107A (en) 2006-06-01
CN100483647C (zh) 2009-04-29

Similar Documents

Publication Publication Date Title
JP5342140B2 (ja) 多孔質膜の堆積方法
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
US11302519B2 (en) Method of patterning a low-k dielectric film
TWI425593B (zh) 整合氣隙之方法
JP3930840B2 (ja) 低κ誘電性無機/有機ハイブリッドフィルム
EP1561841A2 (en) Cleaning CVD Chambers following deposition of porogen-containing materials
US20110212620A1 (en) Post-planarization densification
TW201618190A (zh) 可流動之低k介電質隙縫塡充處理
TW201316407A (zh) 用於半導體處理之流動性矽碳氮層
TW201133623A (en) Post-planarization densification
JP2004134766A (ja) 半導体基板上への成膜方法
KR20080018809A (ko) 반도체 디바이스의 제조 방법
KR20160003226A (ko) 응력 조절을 위한 저온 유동성 경화
WO2009158180A2 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US6028014A (en) Plasma-enhanced oxide process optimization and material and apparatus therefor
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
JP2009289996A (ja) 半導体装置の製造方法および半導体装置
JPH1092804A (ja) 多孔質誘電体膜の製造方法
JP4628257B2 (ja) 多孔質膜の形成方法
JP4641933B2 (ja) 薄膜形成方法
JP4117768B2 (ja) 半導体基板上のシロキサン重合体膜及びその製造方法
JP2009021442A (ja) 多孔質膜の成膜方法およびコンピュータ可読記録媒体
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
TWI762761B (zh) 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑
KR20050055121A (ko) 반도체소자의 층간절연막 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080807

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110627

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110915

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120717

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121017

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121024

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130711

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130805

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130809

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees