JP5028150B2 - 表面の相変換が可能なフォトレジスト - Google Patents

表面の相変換が可能なフォトレジスト Download PDF

Info

Publication number
JP5028150B2
JP5028150B2 JP2007146915A JP2007146915A JP5028150B2 JP 5028150 B2 JP5028150 B2 JP 5028150B2 JP 2007146915 A JP2007146915 A JP 2007146915A JP 2007146915 A JP2007146915 A JP 2007146915A JP 5028150 B2 JP5028150 B2 JP 5028150B2
Authority
JP
Japan
Prior art keywords
polymer
resist
hydrogen
layer
soluble
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007146915A
Other languages
English (en)
Other versions
JP2008077052A (ja
Inventor
慶裕 張
志誠 邱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2008077052A publication Critical patent/JP2008077052A/ja
Application granted granted Critical
Publication of JP5028150B2 publication Critical patent/JP5028150B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、液浸又は非液浸のフォトリソグラフィ、若しくは半導体集積回路の他の製造工程で用いるレジスト材料に関する。
リソグラフィとは、半導体ウエハなどの基板上に、マスク上のパターンを投影するメカニズムである。半導体フォトリソグラフィなどの分野では、解像限界又は限界寸法(Critical Dimension:CD)による最小形状を考慮して、半導体ウエハ上にイメージを形成する必要があった。現在、限界寸法は65nm以下となっている。
一般に半導体フォトリソグラフィは、半導体ウエハの表面(例えば、薄膜積層)にフォトレジスト(レジストともいう)を塗布する工程と、フォトレジストにパターンを露光する工程とを含む。続いて、現像チャンバーに半導体ウエハを搬送し、水性現像液(通称、現像液という)で溶解される露光レジストを除去する。これにより、ウエハ表面には、フォトレジストのパターニング層が形成される。
液浸リソグラフィは、ウエハの表面とレンズとの間の空間に満たされた液体で露光工程を行う、新しい先進的なフォトリソグラフィである。液浸リフグラフィは、空気中でレンズを用いる時よりも開口数が高いため、解像度を向上させることができる。さらにこの液浸方式は、ずっと小さな形状に形成するのにふさわしい、高められた焦点深度(Depth−Of−Focus:DOF)を得ることができる。本発明は液浸リソグラフィに限定されるわけではないが、液浸リソグラフィは、以下でより詳細に説明される発明により、半導体プロセスの一例を提供するものである。
液浸リソグラフィ工程では、ウエハとレンズとの間の空間に、脱イオン水又はその他適当な液浸露光用液体を用いることができる。そのため、露光時間が短いにも関わらず、液体は従来には予測できない問題を発生させる可能性があった。例えば、液体の小滴はこの工程を行った後に残留する可能性があり、パターニングや最小寸法、その他のレジストの性状に悪影響を及ぼすことがあった。
ウォーターマーク(watermark)などの問題を低減するのに役立つ解決策のひとつは、レジスト層上に保護膜を形成することである(特許文献1)。しかし、保護膜を追加した場合、さらなる問題をもたらす上、製造工程にさらに層の形成工程が追加されると、全製造コストは増大することとなる。
本出願は、米国特許出願11/324,588(2006年1月3日出願)の「液浸時のウォーターマークの減少のための新規のTARC材料」に関連するものであり、これは参照することにより本出願に組み込まれる。
米国特許出願公開第2006/111550号明細書
本発明の目的は、半導体基板において欠陥が発生することを防ぐ、表面の相変換が可能なフォトレジストを提供することにある。
(1) レジストを塗布した半導体基板のリソグラフィ工程で用いる材料であって、酸と反応してアルカリ溶液に可溶となる感光性ポリマーと、アルカリ可溶性ポリマー、酸可溶性ポリマー及び酸解離性ポリマーの少なくとも一つと、を含み、前記アルカリ可溶性ポリマーは、アルカリ性の現像液と反応して水溶性となり、前記酸可溶性ポリマーは、酸性の現像液と反応して水溶性となり、前記酸解離性ポリマーは、前記酸と反応して離脱基を脱離した後、水溶性となることを特徴とする材料を提供する。
(2) 露光して前記酸を発生する光酸発生剤をさらに含むことを特徴とする(1)に記載の材料を提供する。
(3) 前記酸と反応するアルカリ性クエンチャーをさらに含み、前記アルカリ性クエンチャーは、露光後ベーク工程の間に化学増幅反応(Chemical Amplified Reaction:CAR)を停止することを特徴とする(1)に記載の材料を提供する。
(4) 前記現像液と反応した後に残る、前記レジスト表面上のレジスト表面接触角は小さくなり、前記レジストの厚さは、前記現像液と反応すると0.001nmを超えて小さくなることを特徴とする(1)に記載の材料を提供する。
(5) 前記酸解離性ポリマーは、露光後ベーク工程を行うと、前記酸と反応して離脱基を脱離することを特徴とする(1)に記載の材料を提供する。
(6) 前記酸解離性ポリマーは、前記酸と反応すると水溶性が高くなることを特徴とする(5)に記載の材料を提供する。
(7) 前記酸解離性ポリマーは、密着性基及び耐エッチング基の少なくとも一つをさらに含むことを特徴とする(5)に記載の材料を提供する。
(8) 前記アルカリ可溶性ポリマーは、カルボニル基、ヒドロキシル基、ラクトン基又は無水物基のポリマー基を含むことを特徴とする(1)に記載の材料を提供する。
(9) 半導体基板上にリソグラフィ工程を行う方法であって、基板上に第1の高さまでレジストを塗布する工程と、前記レジストに露光前ベーク処理を行う工程と、前記レジストの塗布された前記基板を露光する工程と、露光された前記基板を現像液で現像する工程とを含み、前記レジストは、前記塗布及び前記露光前ベーク処理を行う間に第1の層と第2の層とに実質的に分離され、前記レジストは、現像後に前記第1の高さより少なくとも0.001nm低い第2の高さを有することを特徴とする方法を提供する。
(10) 前記レジストを塗布する工程は、前記現像液で現像された後に水溶性とならない第1のポリマー材料と、前記現像液で現像された後に水溶性となる第2のポリマー材料とを混合する工程を含むことを特徴とする(9)に記載の方法を提供する。
(11) 前記塗布及び前記露光前ベーク処理によって、前記第2のポリマー材料の少なくとも一部が、前記レジストの前記基板と反対側の表面に拡散するように、前記露光前ベーク処理及び前記第2のポリマー材料の組成が選択されることを特徴とする(10)に記載の方法を提供する。
(12) 0.001〜0.3nmの間の高さを有するアルカリ可溶性層を含む2層レジスト層を形成する工程をさらに含むことを特徴とする(10)に記載の方法を提供する。
(13) 前記アルカリ可溶性層は、酸を含むことを特徴とする(12)に記載の方法を提供する。
(14) 前記レジストは、現像工程の後に疎水性から親水性に変わることを特徴とする(12)に記載の方法を提供する。
(15) 前記リソグラフィ工程は、液浸リソグラフィであり、現像工程を行うと、前記レジストの全体でなく一部が親水性になるか、膨潤するか、液体に溶解する特性を有することを特徴とする(9)に記載の方法を提供する。
本発明の表面の相変換が可能なフォトレジストは、半導体基板において欠陥が発生することを防ぐことができる。
以下、本発明を3つのセクションに分けて説明する。第1のセクションでは、本発明の1以上の実施形態により、リソグラフィ工程の一例を説明する。第2のセクションでは、上述のリソグラフィ工程で用いる相変換型ポリマー層のリソグラフィ工程における反応及び変化を説明する。さらに第2のセクションでは、様々な実施形態により、本発明の様々な長所を説明する。当然、本発明の他の実施形態では、付加的な及び/又は異なる長所を有してもよい。第3のセクションでは、相変換型ポリマー層を形成するために用いられる、相変換型ポリマー材料の複数の実施形態を説明する。
(リソグラフィ工程)
図1は、本発明のリソグラフィ方法100を簡単に示したフローチャート図である。このリソグラフィ方法100は、本発明の1又はそれ以上の実施形態により、長所が得られる一例である。この一例を簡単に説明するため、本発明ではリソグラフィ方法100を液浸リソグラフィ工程として説明する。リソグラフィ方法100により処理されたウエハ200の一例を示すために、リソグラフィ方法100についてさらに検討し、図2〜図4を用いて説明する。ウエハ200は、ポリマー、金属及び/又は誘電体を含んだ1以上の層をさらに含んだ基板212であってもよく、基板212はパターニングされるべきものである。
図1及び図2に示すように、液浸リソグラフィ方法100は、ステップ102において、ウエハ200の基板212をレジスト層214で被覆することから始まる。レジスト層214は、2又はそれ以上の種類からなるレジストである。レジスト層214の塗布は、スピンコーティング又はその他適当な方法により行ってもよい。レジスト層214の塗布の前に、まず基板212を処理してフォトリソグラフィ工程を準備する。例えば、レジスト層214を形成する前に、ウエハ200に対して洗浄し、乾燥し及び/又は接着促進材(adhesion−promoting material)を被覆してもよい。レジスト層214の少なくとも一部には、相変換型ポリマー層を形成するための相変換型ポリマー材料を含んでいる。以下の2セクションにおいて、相変換型ポリマー層の特性及び構成の一例について、より詳細な検討を行う。
図1及び図3に示すように、液浸リソグラフィ方法100をステップ104に進めて、そこでプリベーク工程を行う。ウエハ200は約85〜150℃で約30〜200秒間加熱される。図3に示すように、相変換型ポリマー材料は、基板212に対向したレジスト層214の領域へと動き、拡散し、又は別に形成される。以下の記載のために、相変換型ポリマー材料が形成されたレジスト層214の領域を、相変換型ポリマー層214aと呼ぶ。
続いて、液浸リソグラフィ方法100をステップ106に進めて、そこで液浸リソグラフィによる露光を行う。レジスト層214を含むウエハ200は、液浸露光用液体(例えば、脱イオン水)に浸漬され、レンズ(図11に示す)を介して放射線源に露光される。放射線源は、例えば、フッ化クリプトン(KrF、248nm)、フッ化アルゴン(ArF、198nm)、フッ素(157nm)、極紫外線(Extreme ultra−violet:EUV、13.5nm)、電子レーザなどの紫外線源でもよい。レジスト層214は、用いられるレジストの種類、紫外線源の強度、及び/又はその他の要因に応じた所定の時間、放射線で露光する。この露光時間は、例えば約0.2〜30秒間である。この露光はレジスト層214の部分を固化させるが、このとき他の部分は液体状態のままである。また、固有の差異を有するネガ型レジストを用いてもよい。露光工程を行った後、1又はそれ以上の処理工程を行い、水滴やその他の欠陥を低減させてもよい。
液浸リソグラフィ方法100をステップ108に進めて、そこで露光されたレジスト層214を有するウエハ200を、露光後加熱(Post−Exposure Bake:PEB)により加熱し、ポリマーを分解する。この工程では、発生した全ての光酸(又は光塩基)をポリマーと反応させて、ポリマーの分解を促進する。ウエハ200を約85〜150℃の温度で約30〜200秒間加熱してもよい。
図1及び図4に示すように、液浸リソグラフィ方法100をステップ110に進めて、そこで露光された(ポジ型)又は露光されていない(ネガ型)レジスト層214上にパターン現像工程が行われ、所望のマスクパターン216が残る。そして、現像液(現像剤)の中にウエハ200を所定時間浸漬させ、レジスト層214の一部を溶解させて除去する。例えば、ウエハ200を現像液の中に約5〜60秒間浸漬する。また、別途追加の洗浄処理を行ってもよい。現像液の中に浸漬した後、相変換型ポリマー層214aの除去により、レジストパターンの表面が浸漬前の高さから少なくとも0.001nm、より好ましくは約50Å低くなる。当業者であれば分かるように、現像液の成分は、レジスト層214の成分に応じて決定することができる。現像液の一例としては、2.38%のテトラメチルアンモニウムヒドロキシド(Tetramethyl Ammonium Hydroxide:TMAH)のアルカリ溶液がある。
(相変換型ポリマー層)
相変換型ポリマー層の異なる実施形態の各々は、上述のリソグラフィ工程において一つ又はそれ以上の長所がある。以下、それらの長所を説明する。しかし、その他の長所が存在する可能性もあり、相変換型ポリマー層の各実施形態は、必ずしも特別な長所が必要なわけではない。
図5〜図8から分かるように、相変換型ポリマー層は、ウエハの表面から粒子を除去することができるという、ある長所を備える。図5において、ウエハ300はレジスト層314を有する。本発明の1以上の実施形態のレジスト層314は、相変換型ポリマー層314aを含む。ウエハ300は、液浸リソグラフィ工程が行われると、表面に欠陥320が形成される。図6〜図8に示すように、続いてウエハ300に現像工程が行われる。
図6は、ウエハ300の表面に現像液330が塗布された状態を示す。図6に示すように、現像液330が、欠陥320の直下にない相変換型ポリマー層314aの一部をすでに除去している。そして、図7に示すように、さらに時間が経過すると、現像液330が浸透し、欠陥320の下にある相変換型ポリマー層314aをさらに除去することができる。最終的には、図8に示すように、相変換型ポリマー層314aが十分に除去されるため、欠陥320はレジスト層314の残る部分とは完全に分離される。欠陥320は、現像液又は洗浄処理で洗い流すか、その他の方法により除去することができる。
レジスト層314が有する具体的なパターン(図4に示すような)は図示していないが、実際にはレジスト層がパターニングされており、図4には大きなレジスト層のうち小さな部分のみが示されている。欠陥320は、レジスト層314の固体部分の上にあってもよく、レジストが現像された後のレジストの複数のパターン及びスペースを覆ってもよい。それら両方の実施形態により得られるパターニングされたレジスト層は、従来のレジストに比べて欠陥が少ない。相変換型ポリマー層314aは、現像液330により一部が除去されるが、相変換型ポリマー材料の付加的部分の層は、パターニング及び現像が行われたレジスト層314の中に依然として存在する。相変換型ポリマー材料の付加的部分は、水洗浄処理などにより後で除去することができる。欠陥320は、親水性に優れた可溶性ポリマーにより囲まれているため、容易に除去することができる。
レジスト層314の表面に欠陥320が再付着することを防ぐ幾つかの方法がある。その方法の一つには、表面帯電を利用したものがある。欠陥320及びレジスト層314の両方は、各々の外側表面上にあるイオン性基から共通の電荷を発生させる。イオン電荷は、現像処理で用いられる、現像液中の界面活性剤か、アルカリ性の現像液から生成されてもよい。両方の電荷が同じであるため(例えば、ネガ型)、欠陥320は、レジスト層314から自然に剥離される。もう一つの方法は、欠陥320の外側表面及びレジスト層314の外側表面の両方が、親水性のポリマー結合を有するものである。親水基が各表面においてポリマー主鎖に結合されるため、異なる表面の二つの基が結合することを妨げることができる。親水性ポリマーは、現像液、又は相変換型ポリマー層314aに存在する現像ポリマーに含まれる界面活性剤、若しくは現像処理で生成される他のポリマーに由来してもよい。レジスト表面340は、相変換型ポリマー層314aよりも親水性が高い。そのため、レジスト表面340が有する親水性は、欠陥が再発生したり基板表面にウォーターマークが形成されたりすることを防ぐことができる。例えば、相変換型ポリマー層314aが有するレジスト表面340との85度の接触角は、現像液と反応した後に75度になる。他の実施形態では、相変換型ポリマー層314aはレジスト表面340と85度の接触角を有し、この接触角は、現像液と反応した後も85度に維持される。
図9に示すように、パターン領域が単一になると、ウォーターマーク欠陥が発生しにくいという他の長所がある。一実施形態において、ウエハ400は、レジスト層414を有する基板412を含む。本発明の1又はそれ以上の実施形態において、レジスト層414は相変換型ポリマー層414aを含む。図9に示すように、高密度パターン領域416及び単一パターン領域418が示されている。従来のレジストを用いると、高密度パターン領域の親水性はより高くなり、単一パターン領域418の疎水性はより高くなる。しかし、相変換型ポリマー層414aを用いると、高密度パターン領域416及び単一パターン領域418の両方が、親水性のより高い表面を有する。つまり、TMAH現像液に溶解することができる(又はTMAH現像液に接触した後に溶解することができる)ことに加え、相変換型ポリマー層414aは、露光を行うことなく親水性を備えることができるようになる。その結果、単一パターン領域418の親水性は、ウォーターマーク欠陥420の除去を促進することができる。
図10に示すように、この実施形態は、全てのパターン領域にウォーターマーク欠陥が発生しにくいという他の長所をさらに有する。一実施形態において、ウエハ500は、レジスト層514を有する基板512を含む。レジスト層514は、本発明の1又はそれ以上の実施形態による相変換型ポリマー層514aを含む。相変換型ポリマー層514aは、ウォーターマークの発生を防ぐ酸516を含む。レジスト層514の露光領域が(矢印518で示す方向で)浸出して水滴520と相互作用することを防ぎ、ウォーターマークがさらに形成されることを防ぐことができる。相変換型ポリマー層514aをレジスト層514の表面から洗い流し、水滴520で覆われたレジストに近接する非露光領域に跨がるウォーターマーク欠陥を低減させて、スカムを防ぐことができる。
図11に示すように、本実施形態の液浸リソグラフィ工程は、ウエハ表面上にある欠陥が減少するという別の長所を備える。例えば、ウエハ600は、ウエハのレジスト層614上にパターンを形成するために、液浸リソグラフィシステム610として提供されてもよい。本発明の1以上の実施形態によるレジスト層614は、相変換型ポリマー層614aを含む。ウエハ600は、1以上のウエハ表面の欠陥620を含む。液浸リソグラフィシステム610は、レンズシステム622と、脱イオン水などの浸漬液体626を含む液体包含構造物624と、流体を加えたり除去する様々な開口と、レンズシステム622に対してウエハ600を固定したり移動したりするチャックと、を含む。液体包含構造物(fluid containing structure)624及びレンズシステム622は、液浸ヘッドを構成する。液浸ヘッドは、乾燥させるための空気をウエハに提供する空気パージとして一部の開口を用い、パージ流体を除去するために残りの開口を用いることができる。一般に、液浸処理にわたって発生する欠陥は数秒のうちに乾燥され、この乾燥により元々水で満たされていた欠陥とレジスト表面との間の隙間を減少させることができる。この乾燥現象は、欠陥とレジストとの間のファンデルワールス力(Vanderwaal force)及び真空吸入力を増大させるものであり、後に浸漬水により除去することを非常に困難にするものである。
図12及び図13に示すように、本実施形態において、欠陥620は乾燥し始めるが、相変換型ポリマー層(又は高含水ポリマー層)614aに隣接する表面630は、湿潤状態で維持される。隣接する表面630は、水への水素結合を豊富に有しており、これは親水性であるため、浸漬液体626が容易に浸透しうる。その結果、表面630の湿潤表面及び浸漬液体626は、レジスト層614から欠陥620を分離し、液浸リソグラフィ処理中に欠陥620を除去することができる。
水分子への水素結合、及び、生じた親水性表面又は膨潤したポリマー面は、複数の要因に起因しうる。一つの要因は、相変換型ポリマー材料は、基板表面へのレジストの塗布後又はレジストのベーキング後に、レジストの表面に拡散しうる界面活性剤を含むことである。この界面活性剤は、低い接触角を実現し、欠陥620とレジスト層614との間の水分/湿気を吸収することができる。他の要因は、相変換型ポリマー材料が、レジスト表面の内側に、浸漬液体626を吸収しうる水素結合性の官能基を含むことである。他の要因は、(浸漬液体626により)水に富んだ相変換型ポリマー層の厚さが10Åよりも実質的に大きいことであり、好適には約150Åである。さらに他の要因は、表面のポリマー材料が、レジスト表面の中にある浸漬液体626を吸収しうる水素結合性の官能基を含むことである。
図14に示すように、もう一つの長所とは、液浸リソグラフィ工程の後における表面水分を低減させる点である。後続の実施形態を説明するため、基板712及びレジスト層714を有するウエハ700に加えて、図11の液浸リソグラフィシステム610を示す。本発明の1以上の実施形態のレジスト層714は、相変換型ポリマー層714aを含む。液浸ヘッドの中の浸漬液体626(例えば、水)への接触後には、レジスト層714は大量の水を含有する。吸収した水が大気中に蒸発すると、この蒸発がレジストの熱を吸収し、レジストの表面温度を下げる。局所的な温度変化は、液浸ヘッドのフォーカスセンサに影響を与える。しかし、本実施形態では、相変換型ポリマー又は親水性ポリマー材料は、レジスト内に吸水性化合物を供給するとともに、浸漬水でのスキャンの後で蒸発及び熱変化の少ない状態で水を閉じ込める。これにより、フォーカスセンサのフォーカス制御を良好に行うことができる。
(ポリマー材料)
本実施形態のレジスト(214、314、414、514、614及び/又は714)は、少なくとも2種類の材料、すなわち標準的なレジストポリマー材料と相変換型ポリマー材料とを含む。標準的なレジストポリマー材料は、酸に反応してアルカリ溶液に溶解しうる。標準的なレジストポリマー材料は、酸を発生させる光酸発生剤(Photo Acid Generator:PAG)を含むため、化学増幅反応(Chemical Amplified Reaction:CAR)をサポートする。CARは、深紫外線(Deep Ultraviolet:UV)及びディープサブミクロン(deep submicron)の技術によく用いられる。リソグラフィ工程の間に、フォトンがPAGの分解を誘発し、少量の酸を生成させる。生成した酸は、主として露光後ベーク工程の間に、レジスト膜で続いて起こる化学変換を誘発する。当然、光塩基発生剤(Photo Base Generator:PBG)を有するものなど、その他様々のレジストであってもよい。同様に、このレジストがポジ型レジスト又はネガ型レジストであるかは、設計上選択される問題であるが、説明の便宜上、以下ではPAGを有するポジ型レジストを用いて説明する。
相変換型ポリマー材料は、標準的なレジスト材料への添加剤であり、少なくとも標準的なレジスト材料の一部から分離させることができ、予備処理の間にレジストの上面(図面に示す)へ向って拡散し又は移動する特性を有する。相変換型ポリマー材料は、さらに後続の工程において重要な特性を有する。その特性とは、例えば、現像液や水洗浄処理に反応して容易に除去される特性や、現像液の中で親水性に変換したり、浸漬溶液の中で親水性に変換したりする特性である。このレジスト表面は、相変換型ポリマーが現像液で除去された後に親水性となってもよい。さらに、このレジスト表面は、残留した相変換型ポリマーがレジスト表面上にある時に親水性となってもよい。相変換型ポリマーは、レジスト表面上の勾配の接触角への特性を備えた異なる構造組成を有してもよい。これは、現像液にさらす前に接触角を高く維持し、現像液からより多くの相変換型ポリマーが除去された後で親水性がより高くなるようにしてもよい。
レジスト表面に添加剤を拡散させるにはいくつかの方法があり、必要に応じて1以上の方法を用いることができる。一つの方法として、分子量の差を利用した方法がある。相変換型ポリマー材料は、レジスト材料よりも小さい分子量を有するため、プリベーク処理の間にポリマー材料をレジストの上部に拡散させることができる。他の方法として、極性の差を利用した方法がある。相変換型ポリマー材料は、異なる極性を有するため、プリベーク処理の間にポリマー材料をレジストの上部に拡散させることができる。例えば、レジスト膜が相変換型ポリマー材料よりも極性が小さい場合、熱焼成を行うと膜の2つの極性が分かれる。他の方法としては、親水性/疎水性の差異を利用した方法がある。相変換型ポリマー材料が異なる親水性/疎水性比を備える場合、溶剤に対する溶解度又は互いに対する溶解度が異なる。相変換型ポリマー材料は、熱焼成処理を行う際に他の材料から分離する。また他の方法としては、溶剤への溶解度の差を利用した方法がある。溶剤への相変換型ポリマー材料の溶解度がレジストポリマーよりも高い場合、添加されたポリマーを、プリベーク工程の間に、溶剤とともにレジストの上部に拡散させることができる。さらに他の方法としては、ポリマーの溶解度を利用する方法がある。相変換型ポリマー材料及びレジスト材料が互いに異なる水素結合又はファンデルワールス力を備えている場合、この差が熱焼成処理を行う際に2つの材料の分離をもたらす。
一の実施形態において、相変換型ポリマーは酸解離性ポリマーである。この酸解離性ポリマーは、現像液などの溶液にさらされて反応し、例えば可溶となる。相変換型ポリマーは、水、現像液又はそれら両方に溶解してもよい。相変換型ポリマーは、レジスト(PAG)又は現像液から生成される酸と反応する離脱基を脱離した後、水溶性になる。酸解離性ポリマー材料は、PEBなどを行う際に、CARにおいて光による酸の発生を停止させ又は大幅に低減させるために、レジスト中に拡散させたアルカリ性クエンチャーを含んでもよい。
他の実施形態において、相変換型ポリマー材料は、現像液などの溶液と反応した後に水溶性となる、アルカリ可溶性ポリマーである。図15に示すように、アルカリ可溶性ポリマーの一例はカルボニル基である。ここで、R1、R2、R3の各々は、水素、フッ素原子、若しくは(直鎖状、分鎖状又は環状の)アルキル基又は炭素数1〜20のフッ化アルキル基であり、R4は、(直鎖状、分鎖状又は環状の)アルキル基、又は炭素数0〜20のフッ化アルキル基である。図16に示すように、他の実施形態のアルカリ可溶性ポリマーはヒドロキシル基である。ここで、R5、R6、R7の各々は、水素、フッ素原子、若しくは(直鎖状、分鎖状又は環状の)アルキル基又は炭素数1〜20のフッ化アルキル基であり、R8は、(直鎖状、分鎖状又は環状の)アルキル基、又は炭素数1〜20のフッ化アルキル基である。図17に示すように、他の実施形態のアルカリ可溶性ポリマーの一例はラクトン基である。ここで、R9、R10、R11の各々は、水素、フッ素原子、若しくは(直鎖状、分鎖状又は環状の)アルキル基又は炭素数1〜20のフッ化アルキル基であり、R12、R13の各々は、(直鎖状、分鎖状又は環状の)アルキル基、又は炭素数1〜20のフッ化アルキル基である。図18に示すように、他の実施形態のアルカリ可溶性ポリマーは無水物基である。ここで、R14、R15、R16の各々は、水素、フッ素原子、若しくは(直鎖状、分鎖状又は環状の)アルキル基又は炭素数1〜20のフッ化アルキル基であり、R17、R18の各々は、(直鎖状、分鎖状又は環状の)アルキル基、又は炭素数1〜20のフッ化アルキル基である。アルカリ可溶性材料からなる相変換型ポリマー層は、約10〜3000Åの間の厚さを有してもよく、より好ましくは約10〜1000Åの間の厚さを有してもよい。さらに、現像を行った後における、相変換型ポリマー層の高さの全体的な減少の大きさは800Å未満であってもよく、より好ましくは約400Å未満又は約200Å未満であってもよい。
その上、実施形態の相変換型ポリマー材料は以下のものを含む。
カルボン酸ポリマー:RCOOH + OH → RCOO + H
酸感応性脱離基:RCOOR + H → RCOO
フッ化物ポリマー:RC(CFOH + OH → RC(CF
ヒドロキシル基含有ポリマー:
ROH + OH → RO
ROH + H → ROH
ラクトン、無水物基含有ポリマー:
RCOOR + OH → RCOO + ROH
上述したものは、本発明の実施形態の一部のみを示したものであるが、当業者であれば容易に分かるように、本発明の趣旨及び効果が実質上同じであれば、多くの変更が可能である。
ある実施形態では、半導体基板のリソグラフィ工程に用いられる材料が提供される。この材料には、酸と反応してアルカリ溶液に可溶となる感光性ポリマー、及び、アルカリ可溶性ポリマー、酸可溶性ポリマー及び酸解離性ポリマーの少なくとも一つが含まれる。アルカリ可溶性ポリマーは、現像液と反応して水溶性となる。酸可溶性ポリマーは、酸性溶液と反応して水溶性となる。酸解離性ポリマーは、酸と反応して離脱基を脱離した後に、水溶性となる。
ある実施形態では、材料は、露光して酸を発生する光酸発生剤と、及び/又は、酸と反応するアルカリ性クエンチャーとをさらに含む。
ある実施形態では、酸解離性ポリマーは、密着性基及び耐エッチング基の少なくとも一つをさらに含む。他の実施形態のアルカリ可溶性ポリマーは、カルボニル基、ヒドロキシル基、ラクトン基又は無水物基のポリマー基を含む。
ある実施形態では、半導体基板上にリソグラフィを行う方法を提供する。この方法には、基板上に第1の高さまでレジストを塗布する工程と、レジストに露光前ベーク処理を行う工程と、レジストの塗布された基板を露光する工程と、露光された基板を現像液で現像する工程とを含む。レジストは、露光前ベーク処理を行う間に第1の層と第2の層とに実質的に分離される。レジストは、現像後に第1の高さより少なくとも50Å低い第2の高さを有する。
ある実施形態では、レジストを塗布する工程は、現像液で現像された後に水溶性とならない第1のポリマー材料と、現像液で現像された後に水溶性となる第2のポリマー材料とを混合する工程を含む。
ある実施形態では、0.001〜0.3nmの間の高さを有するアルカリ可溶性層を含む2層レジスト層を形成する工程をさらに含む。
ある実施形態では、基板とともに用いるレジスト材料が提供される。このレジストには、第1のポリマー材料及び第2のポリマー材料が含まれる。第1のポリマー材料は、ベーキング工程の間に、第2のポリマー材料から基板の外側表面に向って拡散する。第1のポリマー材料はさらに、溶液にさらすと特性が変化する。
ある実施形態では、第1のポリマー材料は、液浸リソグラフィシステムで用いられる浸漬溶液にさらすと、親水性がさらに高まる。
ある実施形態では、第1のポリマー材料は、現像液にさらすと水溶性がさらに高まる。
上述の実施形態及び工程の種々の異なる組み合わせは、種々の順序で、又は並行して用いられ、重要となり必須となるような特殊な工程を要しない。さらに、ある実施形態で図示され上述された特徴は、他の実施形態で図示され上述された特徴をも兼ね備えうる。従って、これら全ての改良は、本発明の範囲に含まれることを意図するものである。
当該分野の技術を熟知するものが理解できるように、本発明の好適な実施の形態を前述の通り開示したが、これらは決して本発明を限定するものではない。本発明の主旨と範囲を脱しない範囲内で各種の変更や修正を加えることができる。従って、本発明の特許請求の範囲は、このような変更や修正を含めて広く解釈されるべきである。
本発明の1又はそれ以上の実施形態による欠陥の低減されたリソグラフィ工程を行う方法を示すフローチャートである。 図1の方法により処理される半導体ウエハを示す断面図である。 図1の方法により処理される半導体ウエハを示す断面図である。 図1の方法により処理される半導体ウエハを示す断面図である。 本発明の少なくとも1の実施形態により処理を行う半導体ウエハを示す断面図である。 本発明の少なくとも1の実施形態により処理を行う半導体ウエハを示す断面図である。 本発明の少なくとも1の実施形態により処理を行う半導体ウエハを示す断面図である。 本発明の少なくとも1の実施形態により処理を行う半導体ウエハを示す断面図である。 本発明の他の実施形態により処理を行う半導体ウエハを示す断面図である。 本発明のさらに他の実施形態により処理を行う半導体ウエハを示す断面図である。 本発明の他の実施形態により処理を行う液浸リソグラフィシステムに用いられる半導体ウエハを示す断面図である。 本発明の他の実施形態により処理を行う液浸リソグラフィシステムに用いられる半導体ウエハを示す断面図である。 本発明の他の実施形態により処理を行う液浸リソグラフィシステムに用いられる半導体ウエハを示す断面図である。 本発明のさらに他の実施形態により処理を行う液浸リソグラフィシステムに用いられる半導体ウエハを示す断面図である。 本発明の1又はそれ以上の実施形態で用いられる相変換型ポリマー材料の化学構造を示す図である。 本発明の1又はそれ以上の実施形態で用いられる相変換型ポリマー材料の化学構造を示す図である。 本発明の1又はそれ以上の実施形態で用いられる相変換型ポリマー材料の化学構造を示す図である。 本発明の1又はそれ以上の実施形態で用いられる相変換型ポリマー材料の化学構造を示す図である。
符号の説明
200 ウエハ
212 ウエハ
214 レジスト層
214a 相変換型ポリマー層
216 マスクパターン
300 ウエハ
314 レジスト層
314a 相変換型ポリマー層
320 欠陥
330 現像液
340 レジスト表面
400 ウエハ
412 ウエハ
414 レジスト層
414a 相変換型ポリマー層
416 高密度パターン領域
418 単一パターン領域
420 ウォーターマーク欠陥
500 ウエハ
512 ウエハ
514 レジスト層
514a 相変換型ポリマー層
516 酸
520 水滴
600 ウエハ
610 液浸リソグラフィシステム
614 レジスト層
614a 相変換型ポリマー層
620 欠陥
622 レンズシステム
624 液体包含構造物
626 浸漬液体
628 開口
630 表面
700 ウエハ
712 ウエハ
714 レジスト層
714a 相変換型ポリマー層

Claims (3)

  1. 液浸フォトリソグラフィに使用されるフォトレジスト材料であって、
    前記フォトレジスト材料は、感光性ポリマー、光酸発生剤、アルカリ性クエンチャー、及び相変換型ポリマーを含み、
    前記相変換型ポリマーは、フォトレジスト層の上部表面に移動又は拡散して液浸フォトリソグラフィー工程中で相変換層を形成し、現像液中で親水性に変換して、前記フォトレジスト層の表面の欠陥を除去することが可能であり、
    前記相変換型ポリマーは、
    一般式(I)で表されるカルボニル基、一般式(II)で表されるヒドロキシル基、一般式(III)で表されるラクトン基又は一般式(IV)で表される無水物基を含有するアルカリ可溶性ポリマーであり、かつ、
    アルカリ性の現像液と反応して水溶性になるアルカリ可溶性ポリマーであり、
    (式(I)〜(IV)において、R 及び は、水素であり、R は、水素、メチル基又はエチル基であり、R、炭素数〜20のフッ化アルキル基であり、
    及びRの各々は、水素であり、Rは、水素、メチル基又はエチル基であり、Rは、直鎖状の炭素数1〜20のフッ化アルキル基であり、
    及びR10の各々は、水素であり、R11は、水素、メチル基又はエチル基であり、R12及びR13の各々は、アルキル基又は炭素数1〜のフッ化アルキル基であり、
    14及びR15の各々は、水素であり、R16は、水素、メチル基又はエチル基であり、R17及びR18の各々は、アルキル基又は炭素数1〜のフッ化アルキル基である。)
    前記相変換型ポリマーは、(a)感光性ポリマーよりも分子量が小さい、(b)感光性ポリマーよりも極性が大きい、(c)感光性ポリマーよりも親水性が高い、及び(d)感光性ポリマーよりも水に対する溶解性が高い、特性の内の少なくとも1つを有する、
    ことを特徴とする材料。
  2. 前記酸と反応するアルカリ性クエンチャーは、露光後ベーク工程の間に化学増幅反応(Chemical Amplified Reaction:CAR)を停止することを特徴とする請求項1に記載の材料。
  3. 前記現像液と反応した後に残る、前記レジストの表面上のレジスト表面接触角は小さくなり、
    前記レジストの厚さは、前記現像液と反応すると0.001nmを超えて小さくなることを特徴とする請求項1に記載の材料。
JP2007146915A 2006-09-22 2007-06-01 表面の相変換が可能なフォトレジスト Active JP5028150B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/534,289 US8518628B2 (en) 2006-09-22 2006-09-22 Surface switchable photoresist
US11/534,289 2006-09-22

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010065613A Division JP5253444B2 (ja) 2006-09-22 2010-03-23 半導体基板上にリソグラフィ工程を行う方法

Publications (2)

Publication Number Publication Date
JP2008077052A JP2008077052A (ja) 2008-04-03
JP5028150B2 true JP5028150B2 (ja) 2012-09-19

Family

ID=39247738

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007146915A Active JP5028150B2 (ja) 2006-09-22 2007-06-01 表面の相変換が可能なフォトレジスト
JP2010065613A Active JP5253444B2 (ja) 2006-09-22 2010-03-23 半導体基板上にリソグラフィ工程を行う方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010065613A Active JP5253444B2 (ja) 2006-09-22 2010-03-23 半導体基板上にリソグラフィ工程を行う方法

Country Status (3)

Country Link
US (2) US8518628B2 (ja)
JP (2) JP5028150B2 (ja)
TW (1) TWI351582B (ja)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8383322B2 (en) * 2005-08-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography watermark reduction
US7993808B2 (en) 2005-09-30 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. TARC material for immersion watermark reduction
GB0619041D0 (en) * 2006-09-27 2006-11-08 Imec Inter Uni Micro Electr Watermark defect reduction by resist optimisation
US9046785B2 (en) 2009-12-30 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning a semiconductor device
US8841058B2 (en) 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
US8852673B2 (en) * 2011-11-01 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect monitoring for resist layer
US10879078B2 (en) * 2018-09-27 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning resist layer and method of forming semiconductor structure using patterned resist layer
US9017934B2 (en) 2013-03-08 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist defect reduction system and method
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9354521B2 (en) 2013-03-12 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9175173B2 (en) 2013-03-12 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Unlocking layer and method
US9110376B2 (en) 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9256128B2 (en) 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9117881B2 (en) 2013-03-15 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive line system and process
US9341945B2 (en) 2013-08-22 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of formation and use
US10036953B2 (en) 2013-11-08 2018-07-31 Taiwan Semiconductor Manufacturing Company Photoresist system and method
US10095113B2 (en) 2013-12-06 2018-10-09 Taiwan Semiconductor Manufacturing Company Photoresist and method
US9761449B2 (en) 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
US9599896B2 (en) 2014-03-14 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9581908B2 (en) 2014-05-16 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method
US20160064239A1 (en) 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
US9678422B2 (en) 2014-09-30 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoacid generator bound to floating additive polymer
US9958779B2 (en) 2015-02-13 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist additive for outgassing reduction and out-of-band radiation absorption
US9543165B2 (en) 2015-02-13 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9412649B1 (en) 2015-02-13 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US10082734B2 (en) 2015-02-13 2018-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Composition and method for lithography patterning
US9864275B2 (en) 2015-02-26 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic resist with floating protectant
US10421867B2 (en) 2015-03-16 2019-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Priming material for substrate coating
US9810990B2 (en) 2015-03-16 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical treatment for lithography improvement in a negative tone development process
US9543159B2 (en) 2015-03-27 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a wet strippable middle layer
US9704711B2 (en) 2015-03-27 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based middle layer composition
US9570285B2 (en) 2015-04-17 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and methods thereof
US9772559B2 (en) 2015-05-18 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Patterned photoresist removal
US9891522B2 (en) 2015-05-18 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a chemically amplified copolymer resist
US10655019B2 (en) 2015-06-30 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Priming material for substrate coating
US10394126B2 (en) 2015-07-17 2019-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process and materials
US10466593B2 (en) 2015-07-29 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning a semiconductor device
US10007177B2 (en) 2015-08-21 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using double exposures
US9612536B2 (en) 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
US9941125B2 (en) 2015-08-31 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9927707B2 (en) 2015-08-31 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Developer for lithography
US9983474B2 (en) 2015-09-11 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist having sensitizer bonded to acid generator
US9892914B2 (en) 2015-10-20 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd Orientation layer for directed self-assembly patterning process
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10090357B2 (en) 2015-12-29 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a surfactant-containing shrinkage material to prevent photoresist pattern collapse caused by capillary forces
US9711604B1 (en) 2015-12-31 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US9768022B2 (en) 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced cross-linkable layer over a substrate
US10036957B2 (en) 2016-01-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Post development treatment method and material for shrinking critical dimension of photoresist layer
US10438948B2 (en) 2016-01-29 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures
US11822251B2 (en) 2016-02-09 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with polar-acid-labile-group
US9921480B2 (en) 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist
US10114291B2 (en) 2016-03-04 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Grafting agent for forming spacer layer
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10056256B2 (en) 2016-03-16 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
US10825684B2 (en) 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US9891528B2 (en) 2016-05-02 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography with reduced exposure dose and negative tone development
US10177001B2 (en) 2016-05-31 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Surface modifying material for semiconductor device fabrication
US9711367B1 (en) 2016-06-01 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method with wafer edge modification
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10622211B2 (en) 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10101659B2 (en) 2016-08-12 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd Lithography method with surface modification layer
US9978594B1 (en) 2016-11-15 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure using patterning stacks
US10453713B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling temperature of furnace in semiconductor fabrication process
US10042252B2 (en) 2016-11-30 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10658184B2 (en) 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US10163632B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
CN107658271B (zh) * 2017-07-17 2019-04-09 潮州三环(集团)股份有限公司 一种防污基板及其制备方法
US10121811B1 (en) 2017-08-25 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of high-aspect ratio pattern formation with submicron pixel pitch
US10573519B2 (en) 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US10522349B2 (en) 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
DE102019120765B4 (de) 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US10867840B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5212047A (en) * 1990-04-10 1993-05-18 E. I. Du Pont De Nemours And Company Resist material and process for use
JP3441167B2 (ja) 1993-06-30 2003-08-25 株式会社東芝 感光性組成物及びそれを用いたパターン形成方法
JP3297199B2 (ja) * 1993-09-14 2002-07-02 株式会社東芝 レジスト組成物
JP3345869B2 (ja) 1995-12-01 2002-11-18 ジェイエスアール株式会社 感放射線性組成物
JP3743187B2 (ja) 1998-05-08 2006-02-08 住友化学株式会社 フォトレジスト組成物
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
JP4135277B2 (ja) 1999-10-12 2008-08-20 Jsr株式会社 感放射線性樹脂組成物
JP3821211B2 (ja) 2000-03-21 2006-09-13 信越化学工業株式会社 レジスト材料及びパターン形成方法
US6936398B2 (en) 2001-05-09 2005-08-30 Massachusetts Institute Of Technology Resist with reduced line edge roughness
US7192681B2 (en) 2001-07-05 2007-03-20 Fuji Photo Film Co., Ltd. Positive photosensitive composition
JP3894001B2 (ja) 2001-09-06 2007-03-14 住友化学株式会社 化学増幅型ポジ型レジスト組成物
US7461119B2 (en) 2001-09-29 2008-12-02 Siebel Systems, Inc. Method, apparatus, and system for managing status of requests in a client server environment
JP3827556B2 (ja) 2001-10-31 2006-09-27 松下電器産業株式会社 パターン形成方法
JP3810309B2 (ja) 2001-12-03 2006-08-16 Necエレクトロニクス株式会社 半導体装置の製造方法
US6849378B2 (en) 2002-04-17 2005-02-01 Samsung Electronics Co., Ltd. Photosensitive polymers, resist compositions comprising the same, and methods for forming photoresistive patterns
US6713236B2 (en) 2002-07-03 2004-03-30 Infineon Technologies North America Corp. Lithography method for preventing lithographic exposure of peripheral region of semiconductor wafer
JP4084235B2 (ja) 2002-08-22 2008-04-30 株式会社神戸製鋼所 保護膜積層微細構造体および該構造体を用いた微細構造体の乾燥方法
US6788477B2 (en) 2002-10-22 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for method for immersion lithography
JP4525062B2 (ja) 2002-12-10 2010-08-18 株式会社ニコン 露光装置及びデバイス製造方法、露光システム
US6781670B2 (en) 2002-12-30 2004-08-24 Intel Corporation Immersion lithography
JP2005101498A (ja) 2003-03-04 2005-04-14 Tokyo Ohka Kogyo Co Ltd 液浸露光プロセス用浸漬液および該浸漬液を用いたレジストパターン形成方法
KR20060002751A (ko) 2003-03-11 2006-01-09 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 새로운 감광성 수지 조성물들
US7029832B2 (en) 2003-03-11 2006-04-18 Samsung Electronics Co., Ltd. Immersion lithography methods using carbon dioxide
JP2005099646A (ja) 2003-03-28 2005-04-14 Tokyo Ohka Kogyo Co Ltd 液浸露光プロセス用レジスト組成物および該レジスト組成物を用いたレジストパターン形成方法
JP4469561B2 (ja) * 2003-05-09 2010-05-26 富士フイルム株式会社 感光性組成物
TWI442694B (zh) 2003-05-30 2014-06-21 Asml Netherlands Bv 微影裝置及元件製造方法
JP4346358B2 (ja) * 2003-06-20 2009-10-21 Necエレクトロニクス株式会社 化学増幅型レジスト組成物およびそれを用いた半導体装置の製造方法、パターン形成方法
JP4303044B2 (ja) 2003-06-23 2009-07-29 Necエレクトロニクス株式会社 化学増幅型レジスト組成物および該化学増幅型レジスト組成物を用いた半導体集積回路装置の製造方法
US7090963B2 (en) 2003-06-25 2006-08-15 International Business Machines Corporation Process for forming features of 50 nm or less half-pitch with chemically amplified resist imaging
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
US20050029492A1 (en) 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
US7700267B2 (en) 2003-08-11 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion fluid for immersion lithography, and method of performing immersion lithography
JP4265766B2 (ja) 2003-08-25 2009-05-20 東京応化工業株式会社 液浸露光プロセス用レジスト保護膜形成用材料、該保護膜形成材料からなるレジスト保護膜、および該レジスト保護膜を用いたレジストパターン形成方法
US7070915B2 (en) 2003-08-29 2006-07-04 Tokyo Electron Limited Method and system for drying a substrate
JP2005081302A (ja) 2003-09-10 2005-03-31 Japan Organo Co Ltd 超臨界流体による電子部品部材類の洗浄方法及び洗浄装置
JP2005136374A (ja) 2003-10-06 2005-05-26 Matsushita Electric Ind Co Ltd 半導体製造装置及びそれを用いたパターン形成方法
US7678527B2 (en) 2003-10-16 2010-03-16 Intel Corporation Methods and compositions for providing photoresist with improved properties for contacting liquids
TWI286555B (en) 2003-10-23 2007-09-11 Shinetsu Chemical Co Polymers, resist compositions and patterning process
JP2005128455A (ja) 2003-10-27 2005-05-19 Tokyo Ohka Kogyo Co Ltd ホトレジスト組成物およびレジストパターン形成方法
JP4609878B2 (ja) 2003-10-28 2011-01-12 東京応化工業株式会社 レジスト上層膜形成材料、およびこれを用いたレジストパターン形成方法
US7244214B2 (en) * 2004-02-03 2007-07-17 Nissan Motor Co., Ltd. Speed change device and steering system
JP5301070B2 (ja) 2004-02-16 2013-09-25 東京応化工業株式会社 液浸露光プロセス用レジスト保護膜形成用材料、および該保護膜を用いたレジストパターン形成方法
WO2005081063A1 (ja) 2004-02-20 2005-09-01 Daikin Industries, Ltd. 液浸リソグラフィーに用いるレジスト積層体
US7473512B2 (en) 2004-03-09 2009-01-06 Az Electronic Materials Usa Corp. Process of imaging a deep ultraviolet photoresist with a top coating and materials thereof
US20050202351A1 (en) 2004-03-09 2005-09-15 Houlihan Francis M. Process of imaging a deep ultraviolet photoresist with a top coating and materials thereof
JP4220423B2 (ja) 2004-03-24 2009-02-04 株式会社東芝 レジストパターン形成方法
KR100557222B1 (ko) 2004-04-28 2006-03-07 동부아남반도체 주식회사 이머전 리소그라피 공정의 액체 제거 장치 및 방법
EP1598704B1 (en) 2004-05-17 2009-12-02 FUJIFILM Corporation Pattern forming method
KR100599081B1 (ko) 2004-05-27 2006-07-13 삼성전자주식회사 포토레지스트 조성물 및 이를 사용한 패턴 형성방법
JP2006013378A (ja) 2004-06-29 2006-01-12 Tdk Corp サーミスタ素体形成用樹脂組成物及びサーミスタ
US7463330B2 (en) 2004-07-07 2008-12-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1621927B1 (en) * 2004-07-07 2018-05-23 FUJIFILM Corporation Positive type resist composition for use in liquid immersion exposure and a method of forming the pattern using the same
JP4740666B2 (ja) 2004-07-07 2011-08-03 富士フイルム株式会社 液浸露光用ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP2006024692A (ja) 2004-07-07 2006-01-26 Toshiba Corp レジストパターン形成方法
US8003293B2 (en) 2004-09-30 2011-08-23 Intel Corporation Pixelated photoresists
JP2006124957A (ja) 2004-10-26 2006-05-18 Tenox Corp アンカー施工用掘削ビット及びそれを用いたアンカーの施工方法
KR100574993B1 (ko) 2004-11-19 2006-05-02 삼성전자주식회사 포토레지스트용 탑 코팅 조성물과 이를 이용한포토레지스트 패턴 형성 방법
JP4152377B2 (ja) 2004-11-26 2008-09-17 Necディスプレイソリューションズ株式会社 画質改善方法および画質改善装置
JP2006198897A (ja) 2005-01-20 2006-08-03 Nidec Copal Corp オーバコート装置
JP4667273B2 (ja) 2005-03-04 2011-04-06 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
EP1720072B1 (en) * 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US20070002296A1 (en) 2005-06-30 2007-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography defect reduction
US7927779B2 (en) 2005-06-30 2011-04-19 Taiwan Semiconductor Manufacturing Companym, Ltd. Water mark defect prevention for immersion lithography
JP4861767B2 (ja) 2005-07-26 2012-01-25 富士フイルム株式会社 ポジ型レジスト組成物およびそれを用いたパターン形成方法
US8383322B2 (en) 2005-08-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography watermark reduction
JP4861781B2 (ja) 2005-09-13 2012-01-25 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
US7993808B2 (en) 2005-09-30 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. TARC material for immersion watermark reduction
JP2007212990A (ja) * 2005-12-05 2007-08-23 Tokyo Ohka Kogyo Co Ltd 液浸露光用ポジ型レジスト組成物およびレジストパターン形成方法
JP5114022B2 (ja) 2006-01-23 2013-01-09 富士フイルム株式会社 パターン形成方法
US8945808B2 (en) * 2006-04-28 2015-02-03 International Business Machines Corporation Self-topcoating resist for photolithography

Also Published As

Publication number Publication date
US8518628B2 (en) 2013-08-27
US8715919B2 (en) 2014-05-06
US20130330671A1 (en) 2013-12-12
JP2008077052A (ja) 2008-04-03
US20080076038A1 (en) 2008-03-27
TWI351582B (en) 2011-11-01
JP5253444B2 (ja) 2013-07-31
TW200815920A (en) 2008-04-01
JP2010186186A (ja) 2010-08-26

Similar Documents

Publication Publication Date Title
JP5028150B2 (ja) 表面の相変換が可能なフォトレジスト
JP4476979B2 (ja) 半導体基板の液浸リソグラフィ形成方法および半導体ウェハの処理方法
US8841058B2 (en) Photolithography material for immersion lithography processes
TW469521B (en) A method of forming a photoresist pattern
US9316916B2 (en) Method to mitigate resist pattern critical dimension variation in a double-exposure process
JP2004212967A (ja) フォトレジスト用オーバーコーティング組成物及びこれを利用したフォトレジストパターン形成方法
US7728089B2 (en) Topcoat compositions and methods of use thereof
CN111948904B (zh) 光刻胶组合物、用它形成光刻图案的方法及其用途
JP4105106B2 (ja) 微細パターン形成方法
JP2008286924A (ja) 化学増幅型レジスト材料、トップコート膜形成用材料及びそれらを用いたパターン形成方法
JP5240297B2 (ja) パターンの形成方法及び半導体装置の製造方法、並びにレジストパターンの被覆層の形成材料
JP4718893B2 (ja) パターン形成方法
JPS63292128A (ja) シリル化ポリ(ビニル)フェノールフォトレジスト
JP4927678B2 (ja) パターン形成方法
JP2006189760A (ja) フォトレジストパターンコーティング用水溶性組成物及びこれを用いた微細パターン形成方法
JP2006189612A (ja) バリア膜形成用材料及びそれを用いたパターン形成方法
JP2009098395A (ja) バリア膜形成用材料及びパターン形成方法
JP2009094146A (ja) パターン形成方法
KR20060017170A (ko) 감광막 패턴 형성 방법
TW202109214A (zh) 圖案形成方法、電子元件的製造方法
JP5527440B2 (ja) パターンの形成方法及び半導体装置の製造方法
JP2006196575A (ja) 液浸型露光方法によるレジストパターン形成方法
KR20070017061A (ko) 이머션 리소그래피 워터마크 감소
KR20070060578A (ko) 포토레지스트 조성물 및 이를 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100223

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100928

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110831

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120619

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120625

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150629

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5028150

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250