JP4992131B2 - 電力の島を使用した集積回路での電力の管理 - Google Patents

電力の島を使用した集積回路での電力の管理 Download PDF

Info

Publication number
JP4992131B2
JP4992131B2 JP2009193326A JP2009193326A JP4992131B2 JP 4992131 B2 JP4992131 B2 JP 4992131B2 JP 2009193326 A JP2009193326 A JP 2009193326A JP 2009193326 A JP2009193326 A JP 2009193326A JP 4992131 B2 JP4992131 B2 JP 4992131B2
Authority
JP
Japan
Prior art keywords
power
integrated circuit
islands
supply voltage
manager
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009193326A
Other languages
English (en)
Other versions
JP2009277252A (ja
JP2009277252A5 (ja
Inventor
ホバーマン,バリー,アラン
ヒルマン,ダニエル,エル
シール,ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mosaid Technologies Inc
Original Assignee
Mosaid Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=33452226&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP4992131(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Mosaid Technologies Inc filed Critical Mosaid Technologies Inc
Publication of JP2009277252A publication Critical patent/JP2009277252A/ja
Publication of JP2009277252A5 publication Critical patent/JP2009277252A5/ja
Application granted granted Critical
Publication of JP4992131B2 publication Critical patent/JP4992131B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F3/00Non-retroactive systems for regulating electric variables by using an uncontrolled element, or an uncontrolled combination of elements, such element or such combination having self-regulating properties
    • G05F3/02Regulating voltage or current
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/01Details
    • H03K3/012Modifications of generator to improve response time or to decrease power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J4/00Circuit arrangements for mains or distribution networks not specified as ac or dc
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Automation & Control Theory (AREA)
  • Power Sources (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Microcomputers (AREA)

Description

この出願は、2003年5月7日に出願された“System and Method for Managing Power in Integrated Circuit”という題の米国仮特許出願第60/468,742号の利益を主張し、その内容が参照として取り込まれる。
本発明は、概して集積回路に関し、特に電力の島を使用して集積回路で電力を管理することに関する。
集積回路の1つの設計目標は、消費電力を低減することである。携帯電話やラップトップのような電池を備えた装置は、電池の充電量を伸ばすために、特に集積回路での消費電力の低減を必要とする。更に、消費電力の低減は過熱を防ぎ、集積回路の放熱を小さくし、ある場合には集積回路のヒートシンク及び/又はファンを除去又は簡略化する。
ある集積回路は、ライブラリセル(library cell)という基礎ブロックを使用して設計されている。これらのライブラリセルは、機能を実行する回路のブロックである。ライブラリセルのいくつかの例には、NANDゲート、マルチプレクサ、デコーダ、比較器及びメモリがある。
“フルカスタム”フローでは、集積回路は、個々のトランジスタやコンデンサやレジスタのレベルのような最下位のレベルで設計される。“フルカスタム”フローは、内部で開発されたライブラリセルを使用することがある。集積回路は最下位のレベルで非常に詳細に設計されるため、集積回路は最適な性能を有することができる。しかし、“フルカスタム”フローのいくつかの課題には、そのような詳細なレベルで設計することに関する長い時間と高価なコストがある。更に、“フルカスタム”フローは、設計が最下位のレベルであるため、面倒である。
“スタンダードセル”フローでは、集積回路は、第三者又は他の外部ソースから取得したライブラリセルを使用して設計される。これらのライブラリセルは、論理レベル又は機能レベルで標準化されている。ライブラリセルが既に予め設計されて予めテストされているため、スタンダードセルフローの設計時間は減少する。
集積回路を設計する一例では、ライブラリセルが選択され、カスタムロジックが特定され、集積回路を構築する。次に、集積回路のレジスタ転送レベル(RTL:register transfer level)がシミュレーション及びデバッグ用に書き込まれる。シミュレーション及びデバッグの後に、集積回路について合成(synthesis)が行われる。集積回路の性能を決定するために、性能測定ソフトウェアが実行される。集積回路の最終合成は、集積回路の最適性能に基づいて行われ得る。
[先行技術文献]
米国特許第5,502,838号明細書 米国特許第5,727,193号明細書 米国特許第5,745,375号明細書 米国特許第5,778,237号明細書 米国特許第5,832,284号明細書 米国特許第5,996,084号明細書 米国特許第6,076,171号明細書 米国特許第6,367,023号明細書 米国特許第6,415,388号明細書 米国特許第6,519,707号明細書 米国特許第6,564,328号明細書 米国特許第6,574,740号明細書 米国特許第6,704,876号明細書 米国特許第6,721,892号明細書 米国特許第6,754,837号明細書 米国特許第6,804,632号明細書 米国特許第6,836,849号明細書 米国特許出願第2001−0021217A1号明細書 米国特許出願第2002−0087896A1号明細書 欧州特許第0632360号明細書 国際公開公報第00/26747A1号明細書 国際公開公報第00/39661A1号明細書 米国特許第6,438,635号明細書 特開平11−45225号明細書 米国特許第6,779,169号明細書 米国特許第6,820,240号明細書 米国特許第6,883,152号明細書 米国特許第6,141,762号明細書 米国特許出願第2002−0087904A1号明細書 米国特許出願第2002−0140467A1号明細書 米国特許第5,585,745号明細書 米国特許第6,047,248号明細書 米国特許第Re.36,839号明細書 米国特許第7,111,179B1号明細書 米国特許第7,051,306号明細書 米国特許第7,415,680号明細書 米国特許第7,945,885号明細書 米国特許第7,996,811号明細書 米国特許第6,667,648号明細書 米国特許第6,779,163号明細書 米国特許第6,820,240号明細書 米国特許第7,279,956号明細書 米国特許第7,111,178号明細書 米国特許第6,523,150号明細書 米国特許第6,598,148号明細書 米国特許第6,462,976号明細書 米国特許第6,631,502号明細書 米国特許第7,642,836号明細書 米国特許第6,307,281号明細書 米国特許第6,438,635号明細書 米国特許第6,141,762号明細書 特開2003−108260号明細書 中国特許第1353454号明細書 国際公開公報第01/01228号明細書 特開平10−222253号明細書 米国特許第6,711,447号明細書 米国特許第6,889,330号明細書 米国特許第6,976,182号明細書 米国特許第7,085,945号明細書 特開2001−238190号明細書 特開2003−086693号明細書 U.S. Patent & Trademark Office (IPEA), Written Opinion re: International Application No. PCT/US02/31160, 4 Pages, Sep. 15, 2004 U.S. Patent & Trademark Office (IPEA), International Preliminary Examination Report re: International Application No. PCT/US02/31160, 4 pages, Oct. 14, 2004 "Managing Power in Ultra Deep Submicron ASICIIC Design," May 2002, Synopsys, Inc. "Solving the Power Management Dilemma in System-on-Chip ASIC Design," April 2002, IBM Technology Group. AKUI, et al., "Dynamic Voltage and Frequency Management for Low-Power Embedded Microprocessor," IEEE International Solid-State Circuits Conference, Digest of Technical Papers, Feb. 2004, Vol. 1, pp. 64-513. CHEN, G. et al. "Adaptive Garbage Collection for Battery-Operated Environments," Proceedings of USENIX JVM02 Symposium, August 2002. CHEN, G. et al. "Energy Savings Through Compression in Embedded Java Environments," Proceedings of the CODES'02, June 2002. DE LA LUZ, V. et al. "Automatic Data Migration for Reducting Energy Consumption in Multi-Bank Memory Systems," DAC 2002, June 10 - 14, 2002, New Orleans, LA, USA. DE MICHELI, G. et al. "System-Level Power Optimization: Techniques and Tools," DATE 2000. DEGALAHAL, V. et al., "Analyzing Soft Errors in Leakage Optimized SRAM Design," Proceedings of 16th International Conference on VLSI Design, January 2003, New Delhi, India. DELALUZ, V. et al. "Hardware and Software Techniques for Controlling DRAM Power Modes," IEEE Transaction on Computers, November 2001, Vol. 50. DELALUZ, V. et al. "Scheduler-Based DRAM Energy Management," DAC 2002, June 10 - 14, 2002, New Orleans, LA, USA, ACM. DELALUZ. V. "DRAM Energy Management Using Software and Hardware Directed Power Mode Control, "Proceedings of the 7th International Symposium on High Performance Computer Architecture, January 20-24, 2001, Monterrey. Mexico. DUARTE, D. et al., "Impact of Scaling on the Effectiveness of Dynamic Power Reduction Schemes," Proceedings of the 20th International Conference on Computer Design (ICCD), September 16-18, 2002, Freiberg, Germany. DUARTE, D. et al, "Impact of Technology Scaling and Packaging on Dynamic Voltage Scaling Techniques," Proceedings of the 15th Annual IEEE International ASIC/SOC Conference, September 25-28,2002, Rochester, NY. DUARTE, D. et al. "A Complete Phase-Locked Loop Power Consumption Model," Proceedings of the 2002 Design, Automation and Test in Europe Conference and Exhibition (DATE'02), 2002, IEEE. DUARTE, D. et al. "Impact of Technology Scaling in the Clock System Power," Proceedings of the IEEE Computer Society Annual Symposium on VLSI, April 25-26, 2002, Pittsburgh, PA. DUARTE. D. et al. "Evaluating Run-Time Techniques for Leakage Power Reduction," Proceedings of the 15th International Conference on VLSI Design (VLSID'02) 2002, IEEE. FILSETH, E. "Tally Power into Cost of 'Free' Silicon," EETimes, January 11, 1999, located at: http://www.eetimes.com/storylspeakoutlOEG19990111S028. FLYNN, J. et aI., "Power Management in Complex SoC Design," Synopsys, April 2004, located at http://www.synopsys.com/sps. FRENKIL, J. "A Multi-Level Approach to Low-Power IC Design," IEEE Spectrum, February 1998, Vo. 35, No.2. GRUNWALD, D. et al. "Kool Chips Workshop," MICR033, December 10, 2000, Monterey, CA. GUPTA. R., et al., "Low Power Wireless Networked System Design," HotChips, August 18, 2002, Stanford, CA. HALTER, et al., "A Gate-Level Leakage Power Reduction Method for Ultra-Low-Power CMOS Circuits," in Proc. IEEE Custom Integrated Circuits Conf., 1997, pp. 475-478. HEZAVEI, J. et al. "Input Sensitive High-Level Power Analysis," Proceedings of the 2001 IEEE Workshop on Signal Processing Systems (SiPS), September 2001, pp. 149-156. HORIGUCHI, et. al., "Switched-Source-Impedance CMOS Circuit for Low Standby Subthreshold Current Giga-Scale LSI's," IEEE Journal of Solid-State Circuits, Nov. 1993, Vol. 28, No. 11. HU, J.S. et al., "Using Dynamic Branch Behavior for Power-Efficient Instruction FetCh," Proc. of IEEECS Annual Symposium on VLSI (ISVLSI 2003), February 20-21, 2003, Tampa, FL KAO, et al., "Dual-Threshold Voltage Techniques for Low-Power Digital Circuits," IEEE Journal of Solid-State Circuits, Jul. 2000, vol. 35, no. 7. KIM, S. et al. "Energy Efficient Instruction Cache Using Page-Based Placement," Proceedings of International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES'01, November 1-17, 2001, Atlanta, GA, USA. KIM, S. et al. "Partitioned Instruction Cache Architecture for Energy Efficiency" ACM Transactions on Computational Logic, July 2002, pp 1-23, Vol. V, No. N. KIM, S. et al. "Power-aware Partitioned Cache Architectures," Proceedings of ACM/IEEE International Symposium on Low Power Electronics and Design, 2001, ILSPED'01. August 6-7, 2001, Huntington Beach, CA. KIM, Set al. "Predictive Precharging for Bitline Leakage Energy Reduction," Proceedings of 15th Annual IEEE International ASIC/SOC Conference, September 25-28, 2002, Rochester, NY. LACKEY, et al., "Managing Power and Performance for System-on-Chip Designs using Voltage Islands," IEEE International Conference on Computer Aided Design, Technical Paper, Nov. 2002, pp. 195-202. LI L. et al., "Managing Leakage Energy in Cache Hierarchies," Journal of Instruction-Level Parallelism, 2003, pp. 1-24, Vol. 5. LI, L. et al., "Leakage Energy Management in Cache Hierarchies," 11th International Conference on Parallel Architectures and Compilation Techniques (PACT'02), September 2002. MANNE, S. et al. "Cool Chips Tutorial," 32nd Annual International Symposium on Micorarchitecture, November 15, 1999, Haifa, Israel. MUTOH, "1-V Power Supply High-Speed Digital Circuit Technology with Mutlithreshold-Voltage CMOS," IEEE Journal of Solid-State Circuits, Aug. 1995, vol. 35, No. 8. NOWKA, et al., "A 0.9V to 1.95V Dynamic Voltage-Scalable and Frequency-Scalable 32b PowerPC Processor," IEEE International Solid-State Circuits Conference 20.4 (February 6, 2002). NOWKA, et al., "A 32-bit PowerPC System-on-a-Chip With Support for Dynamic Voltage Scaling and Dynamic Frequency Scaling," IEEE Journal of Solid-State Circuits, Vol. 37, No. 11, pp. 1441-1447 (November 2002). NOWKA, et al., "The Design and Application of the PowerPC 405LP Energy-Efficient System-on-a-Chip," IBM J. Res. And Dev., Vol. 47. No. 5/6, pp. 631-639 (September/November 2003). PANGRLE, B. "Low Power Design: A Holistic Approach in an Era of New Semiconductor Technologies," San Diego Telecom Council Semiconductor SIG, November 14, 2002. RABAEY, J. "Design Aids for Low Power: Part II Architecture and System Levels," 1997. RAMANARAYANAN, R. et al. "Characterizing Dynamic and Leakage Power Behavior in Flip Flops," Proceedings of the 15th Annual IEEE International ASIC/SOC Conference, September 2002. Search Report: ROC (Taiwan) Patent Application No. 093112998 (Translation), completed October 31, 2010. SEMERARO, et al., "Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling," Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (2002). SEMERARO, et al., "Dynamic Frequency and Voltage Control for a Multiple Clock Domain Microarchitecture", Nov. 2002, IEEE 35th Annual International Symposium on Microarchitecture, Proceddings pp. 356 - 367. TAPLES, et al., "Toward a Multiple CloclNoltagelsland Design Style for Power-Aware Processors", May 2005, IEEE Transactions on Very Large Scale Integration (VLSI) system, vol. 13, iss. 5, pp. 591 - 603. TSAI, Y-F et al., "Implications of Technology Scaling on Leakage Reduction Techniques," DAC 2003, June 2-6, 2003, ACM, Anaheim, CA, USA. ZHANG, W. "Exploiting VLlW Schedule Slacks for Dynamic and Leakage Energy Reduction," Proceedings of the 34th Annual International Symposium on Microarchitecture (MICRO'01), December 2001. ZHANG, W. et al., "Compiler Support for Reducing Leakage Energy Consumption," Proceedings of the 6th Design Automation and Test in Europe Conference (DATE-03), March, 2003, Munich, Germany. BENINI, et al. "System-Level Power Optimization: Techniques and Tools," Proceedings 1999 International Symposium on Low Power Electronics and Design, pages 288-293 (May 23, 2005). KIM, et al., "Dynamic VTH Scaling Scheme for Active Leakage Power Reduction," Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pages 163-167 (August 7, 2002).
多数の集積回路での課題は、消費電力が効率的に利用されないことである。例えば、全体の集積回路は、最大周波数を必要とするアプリケーションをサポートするように最大周波数で動作し得るが、集積回路の他の部分は低い周波数で動作し得る。他の例では、集積回路内の非アクティブの回路が電力を消費し、リーク(leakage)の可能性を増加させる。非効率な消費電力はまた、集積回路の性能に悪影響を及ぼす。
集積回路の複雑性の増加と共に、集積回路が更なる機能を使用するときに、消費電力の減少が更に重要になる。集積回路の一例は、単一のチップにマイクロプロセッサとメモリとI/Oインタフェースとアナログ・デジタル変換器との全てを有するシステム・オン・チップである。単一のチップで多数の異なる種類の機能が使用されており、システム・オン・チップは、単一機能の集積回路より電力を消費する。
ある従来技術の集積回路は、消費電力を小さくするために、電圧の島又は複数のクロックを使用している。これらの集積回路での1つの課題は、電力の島での電圧及び複数のクロックの周波数が固定的である点にある。電圧及び周波数は、集積回路のニーズ及び動作に基づいて動的に変化しない。
本発明は、電力の島を使用して集積回路の電力を管理することにより、前記の課題に対処する。集積回路は、消費電力が電力の島のそれぞれで独立して制御される複数の電力の島を有する。電力マネージャは、電力の島のうち1つの目的の電力レベルを決定する。次に、電力マネージャは、電力の島のうち1つの消費電力レベルを目的の電力レベルに変更する動作を決定する。電力マネージャは、電力の島のうち1つの消費電力レベルを目的の電力レベルに変更する動作を実行する。電力制御回路は、電力の島のうち1つの電力を制御する。
電力の島は、集積回路の地理的要因又は機能回路に基づいて表され得る。ある実施例では、動作は、電力の島のうち1つのクロック周波数を選択すること、又は電力の島のうち1つのクロックを選択することである。ある実施例では、動作は、電力の島のうち1つの電圧を変更することである。動作は、電力の島を電力オン又は電力オフしてもよい。
ある実施例では、電力マネージャは、電力の島の1つの消費電力レベルを監視し、消費電力レベルに基づいて閾値レベルを交差したか否かを決定し、閾値レベルの交差に基づいて動作を実行する。ある実施例では、電力マネージャは、電力の島のうち1つの構成要素の状態を保存及び回復する。
本発明の例示的な実装における集積回路で電力を管理するシステムのブロック図 本発明の例示的な実装における集積回路で電力を管理するシステムの図 本発明の例示的な実装における低電力スタンダードセル論理ブロックの低リーク回路の図 本発明の例示的な実装における電力の島の図 本発明の例示的な実装におけるアプリケーション要求からスレーブ電力マネージャの動作までのフローチャート 本発明の例示的な実装におけるスマート電力ユニットの内部回路の図 本発明の例示的な実装におけるスマート電力ユニットの外部回路の図 本発明の例示的な実装におけるアプリケーション要求からスマート電力ユニットの動作までのフローチャート 本発明の例示的な実装において、電力低下前にIPユニットの状態を保存し、電力上昇のときにIPユニットの状態を回復するフローチャート 本発明の例示的な実装におけるシステム・オン・チップ及び拡張ローカルメモリの図 本発明の例示的な実装において、中間電力マネージャから電力管理制御レイヤに“ホットスポット”レポートを生成するフローチャート 本発明の例示的な実装におけるシステム・オン・チップの図 本発明の例示的な実装における電力の島を備えたチップを構成するフローチャート
例示的な図面に示すように(図面で同様の参照数字は図面の類似の又は対応する要素を示す)、本発明によるシステム及び方法の例示的な実施例を以下に詳細に説明する。しかし、本発明は様々な形式で具現され得ることがわかる。従って、ここに開示された特定の詳細は限定として解釈されるべきではなく、特許請求の範囲の基礎として、また、実際に何らかの適切な詳細なシステム、構造、方法、処理又は様式で本発明を使用する当業者に教示する代表的な基礎として解釈されるべきである。
図1は、本発明の例示的な実装における集積回路110で電力を管理するシステム100のブロック図を示している。システム100は、集積回路110と電力マネージャ120とを有する。集積回路110は、シリコン及び/又は関連の原料に具体化される何らかの電子装置である。集積回路110の一例は、システム・オン・チップである。集積回路110は、複数のIPユニットを有しており、その複数のIPユニットは、特定の機能を実行する回路のブロックである。
集積回路110は、4つの電力の島112、114、116及び118を有する。簡単にするため、図1は4つの電力の島112、114、116及び118のみを示している。集積回路110の他の実施例は、複数の電力の島112、114、116及び118を有する。電力の島112、114、116及び118はバス125に結合されている。
電力の島112、114、116及び118は、消費電力がセクション内、図形内、区画内又は区域内で制御される集積回路110の何らかのセクション、図形、区画又は区域である。ある実施例では、電力の島112、114、116及び118は、集積回路110の地理的要因に基づいて表される。ある実施例では、電力の島112、114、116及び118は、集積回路110の機能IPユニットに基づいて表される。図10に示す一例では、電力の島は、メモリと、マイクロプロセッサと、別々のIPブロックとにより表される。ある実施例では、電力の島112、114、116及び118は、相互に非同期又は同期である。ある実施例では、電力の島112、114、116及び118は、電力の下位の島を有し、集積回路110で電力を制御するときに更なる特異性を提供する。ある実施例では、電力の島112、114、116及び118のそれぞれは、自己の制御で複数のクロックドメインをサポートする。ある実施例では、電力の島112、114、116及び118のクロックは可変である。
ある実施例では、電力の島112、114、116及び118のそれぞれは、電力制御回路を有する。電力制御回路は、電力の島112、114、116及び118のうち1つの電力を制御するように構成された何らかの回路である。電力制御回路のいくつかの例は、レベルシフト、信号分離、Vdd多重、クロック多重、及び動的バックバイアス用の回路を含む。ある実施例では、電力制御回路は、集積回路110のスタンダードセル設計用のスタンダードセルライブラリに含まれる。
電力マネージャ120はまた、バス125に結合されている。バス125の一例は、図10で以下に更に詳細に説明する電力コマンドバスである。システム100の他の実施例は、電力マネージャ120と電力の島112、114、116及び118とが相互接続されている多数の変更形態を含む。電力マネージャ120は、(1)電力の島112、114、116及び118のそれぞれで消費電力が独立に制御される電力の島112、114、116及び118の1つの目的の電力レベルを決定し、(2)電力の島112、114、116及び118の1つの消費電力レベルを目的の電力レベルに変更する動作を決定し、(3)電力の島112、114、116及び118の1つの消費電力レベルを目的の電力レベルに変更する動作を実行するように構成された何らかの回路、装置又はシステムである。電力マネージャ120は、集積回路110のニーズ及び動作に基づいて、電力の島112、114、116及び118の消費電力を動的に変更することができる。目的の電力レベルは、電力の島112、114、116及び118の所望の消費電力、計算済の消費電力又は指定の消費電力である。電力マネージャ120のいくつかの例には、スレーブ電力マネージャ(SPM:slave power manager)、中間電力マネージャ(IPM:intermediate power manager)及びマスター電力マネージャ(MPM:master power manager)があり、これらは以下に更に詳細に説明する。電力マネージャ120は、電力マネージャ120の階層又はグループでもよい。図1は集積回路110の外にあるものとして電力マネージャ120を図示しているが、他の実施例は、集積回路110にある電力マネージャ120を有してもよい。他の実施例では、電力マネージャ120は、集積回路110上又は集積回路110外の複数の電力マネージャに分散されてもよく、CPUと統合されてもよい。
動作は、電力の島112、114、116及び118の消費電力を制御する何らかの命令、メッセージ、処理、関数、信号又は変数である。動作のいくつかの例には、クロックゲートと動的クロック選択がある。動作の他の例は、電力の島112、114、116及び118の1つのクロック周波数を変更することである。動作の他の例は、動的電圧ソース、Vdd、選択のような電力の島112、114、116及び118の1つの電圧を変更することである。動作の他の例は、可変Vtトランジスタを制御することにより、動的リークを制御することである。
図2は、本発明の例示的な実施例における集積回路250で電力を管理するシステム200の図を示している。システム200は、内蔵ファームウェアスタック210と、集積回路250とを有する。内蔵ファームウェアスタック210は、中央処理装置(CPU)で動作するソフトウェアレイヤを有する。ある実施例では、内蔵ファームウェアスタック210は、アプリケーションレイヤ212と、オペレーティングシステム(OS)サブシステム216と、電力管理制御レイヤ(PMCL:power management control layer)218と、リアルタイムオペレーティングシステム(RTOS:real-time operating system)220と、I/Oドライバ222と、MPM、IPM及び/又はSPM用の電力管理(PM:power management)ファームウェア224とを有してもよい。
集積回路250は、電力の島260と、電力の島270と、MPM280とを有する。電力の島260は、低電力スタンダードセル論理ブロック262と、SPM264とを有する。電力の島270は、低電力メモリブロック272と、SPM274とを有する。低電力スタンダードセル論理ブロック262及び低電力メモリブロックは、インタフェース265に結合されている。MPM280は、PMCL218とSPM264とSPM274とに結合されている。
ある実施例では、アプリケーションレイヤ212は、全体電力アプリケーションレイヤ(GPAL:global power application layer)214を有する。このGPAL214は、複雑なアプリケーションで有用なことがある。GPAL214が存在する場合、PMCL218のアプリケーションプログラムインタフェース(API)への全ての呼び出しは、最初にGPAL214に指示される。GPAL214とPMCL218との双方が集積回路250の電力管理を提供する。ある実施例では、GPAL214及び/又はPMCL218は、それぞれの電力の島260及び270に何の負荷があるかを監視する。また、GPAL214及び/又はPMCL218は、電力の島260及び270の電力レベルの履歴を構築し、データベースに履歴データを格納してもよい。GPAL214及びPMCL218はまた、電力の島260及び270の電力レベルに関与するオンデマンド型のリソース用のデータを提供してもよい。
ある実施例では、GPAL214が存在する場合に、GPAL214は、集積回路250の電力管理についてローカルの決定を行う際にPMCL218への案内を提供する。ある実施例では、GPAL214及びPMCL218は、静的スケジュール用の複雑なアルゴリズムを有する。GPAL214及びPMCL218は、電力の動作を相互作用して制御するコードを使用する機能と、データベース及び統計を提供することを含むパラメータとを提供する。
PMCL218はまた、MPM280から情報を収集し、可能なIPM、SPM264及び274、並びに電力の島260及び270について、MPM280にコマンドを提供する。コマンドのいくつかは、電力オン/オフ、電力レベルの変更、又は電力の島260及び270の周波数の変更でもよい。スマート電力ユニット(SPU:smart power unit)を備えたある実施例では、CPAL214及びPMCL218は、電力ポリシーを実装して実際の電力供給についての情報を収集するために、SPU290と通信する役目をする。SPU290については、図6−8で以下に更に詳細に説明する。ある実施例では、GPAL214及びPMCL218は、既存の電力管理技術用のソケットを提供する。
電力マネージャファームウェア224は、マスター電力マネージャ280と中間電力マネージャとスレーブ電力マネージャ264及び274とにより実行されるファームウェアである。
MPM280は、全体の集積回路250の“全体”電力動作を制御するように構成された何らかの回路である。ある実施例では、全体の集積回路250の電力動作を制御する複数のMPM280が存在する。ある実施例では、MPM280は既存のIPM並びにSPM264及び274と通信し、電力の島260及び270の電力を制御する。MPM280は、SPM264及び274並びにIPMから電力の島260及び270についての状態情報を受信してもよい。MPM280はまた、IPM並びにSPM264及び274の間の電力のトレードオフを決定してもよい。
MPM280はまた、PMCL218ファームウェアに対するメインインタフェースを提供してもよい。ある実施例では、MPM280は、PMCL218と通信し、コマンド(例えばメモリマップのコマンド)を受け入れ、集積回路250内の電力についての状態情報を提供する。ある実施例では、MPM280は、集積回路250のメインバスを通じてPMCL218と通信する。MPM280はまた、SPM264及び274並びにIPMと機能とのそれぞれをPMCL218に登録してもよい。MPM280のいくつかの動作について、図5、8、9及び11で以下に詳細に説明する。ある実施例では、MPM280は状態保存用のスキャン・チェーン(scan chain)を読み書きし、ローカルの論理アナライザ機能で回復する。
ある実施例は、MPM280の代わりにSPM264及び274を調整するIPM(図示せず)を有してもよい。ある実施例では、IPMは、MPM280により制御される領域の部分で電力動作を制御及び調整する。ある実施例では、IPMは、MPM280と異なるチップにあるSPM264及び274を制御する。
SPM264は、集積回路250の電力の島264で電力を制御するように構成された何らかの回路である。SPM264の一例は、集積回路250の電力の島264で電力を制御するIPブロックである。SPM264は、信号バッファと、レベルシフトと、信号分離とを有してもよい。ある実施例では、SPM264は簡単な実装及び統合を提供するスキャン・チェーンに統合される。また、ある実施例では、SPM264は、低ゲートカウント及び低電力を備えた非常に小さい“フットプリント”を有する。ある実施例では、SPM264は、状態情報とサービス要求とコマンドとを通信するコマンドインタフェースを有する。コマンドのいくつかには、ロード、スリープ及びアイドルがある。SPM264はまた、分岐バスを可能にするために、自分のアドレスを認識してもよい。
ある実施例では、SPM264は登録機能を有する。電力上昇時の登録では、SPM264はオフチップSPM及びIPMで一般的に使用されるコールバック登録を使用する。集積回路がオンチップSPMで生成されたときの静的な登録では、SPM264は、SPM264が何をすることができるか、IPMが何をすることができるか、及びSPM264又はIPMがサービス可能なコマンドの種類を登録する。SPM264はまた、電源オフの間の保存及び回復機能を有してもよい。SPM264は、ウォッチ・ドッグ・タイマー(watch-dog timer)を有してもよい。ある実施例では、SPM264は、電力の島260に対するデバッグインタフェース調整を有する。SPM264はまた、ローカル状態を監視し、電力の島260内の構成要素の情報を収集してもよい。SPM264はまた、Vdd、周波数選択用のクロック、及び動的バックバイアスをローカルで制御してもよい。SPM264の説明はまた、電力の島270のSPM274にも当てはまる。
ある実施例では、SPM264は、電力の島260からの収集情報が閾値を交差したとき又は範囲を超過したときにイベントが生じたか否かを検査する。ある実施例では、SPM264、IPM又はMPM280は、電力の島260の消費電力レベルを監視し、消費電力レベルが閾値レベルを交差したか又は範囲を超過したか否かを検査する。消費電力レベルは、電力の島260で消費される電力を示す何らかの情報、データ又は統計である。消費電力レベルのいくつかの例には、温度及び電力がある。閾値又は範囲はプログラム可能でもよい。SPM264は、非同期でイベントをレポートしてもよく、或いはMPM280のような他の要素は、イベントが生じたか否かを求めてもよい。イベントはまた、所定のプログラム可能な周波数より大きい時に生じる条件、又は所定のプログラム可能な持続時間を超過する条件のように、複数レベルのテストでもよい。
ある実施例では、低電力スタンダードセル論理ロジック262は、電力の島260に含まれ得るスタンダードセルライブラリの一例である。ある実施例では、スタンダードセルライブラリは、低電力用に最適化されている。スタンダードセルライブラリは、一連の動作電圧で特徴を有してもよい。ある実施例では、スタンダードセルライブラリは、同期回路及び/又は非同期回路を有する。ある実施例では、スタンダードセルライブラリは、静的回路及び/又はカプセル化動的論理回路を有する。スタンダードセルライブラリはまた、レベルシフタや信号分離回路のような複数電圧ドメインのインタフェース回路を有してもよい。スタンダードセルライブラリはまた、標準Vt、高Vt、低Vt及び可変Vt回路のような複数閾値の設計及び特徴を有してもよい。スタンダードセルライブラリはまた、データ保存(シャドー)回路と、非グリッチ回路とを有してもよい。スタンダードセルライブラリはまた、低リークの“スリープ”回路を有してもよい。図3は、本発明の例示的な実装における低電力スタンダードセル論理ブロック262の低リーク回路300を示している。
図4は、本発明の例示的な実装における電力の島270の図を示している。電力の島270は、低電力メモリブロック272と、SPM274とを有する。低電力メモリブロック272は、メモリ用のバンク構造410、420、430及び440と、スリープ電力低下回路450とを有する。ある実施例では、電力の島270のメモリはRAM及び/又はROMである。RAMのいくつかの例には、単一ポートや2ポートやデュアルポートのようなSRAMコンパイラがある。ROMのいくつかの例には、ROMコンパイラがある。電力の島270のいくつかのメモリは、低電力メモリブロック272のように低電力用に最適化されている。一実施例では、低電力メモリブロック272は、バンク構造410、420、430及び440のようなコンパイラを介した複数のバンク構造を有する。電力の島270のメモリはまた、スリープやナップ(nap)や全電力低下のような低電力モード用のスリープ電力低下回路450を有してもよい。電力の島270のメモリはまた、プログラム可能な読み/書きポートを有してもよい。電力の島270のメモリはまた、非同期及び/又は同期設計でもよい。
ある実施例では、システム200はまた、スマート電力ユニット(SPU:smart power unit)290を有する。ある実施例では、SPU290は、集積回路250に対してオフチップである。SPU290は、集積回路に対する電力とクロックの分配を制御するように構成された外部ユニットである。SPUの回路について、図7及び8で以下に更に詳細に説明する。
図5は、本発明の例示的な実装におけるアプリケーション要求からSPM動作までのフローチャートを示している。図5はステップ500で始まる。ステップ502において、映画の再生のように、アプリケーションが起動される。ステップ504において、アプリケーションは、IPユニットの性能に必要な周波数を決定する。例えば、アプリケーションは、MPEGデコーダ用のIPユニットについて、MHzで指定の周波数を決定する。ある実施例では、IPユニットが完全に非同期である場合、所要の周波数は性能の人為的指標である。複数のクロックが含まれるある実施例では、クロック毎の最小の性能が特定される。
ステップ506において、アプリケーションはPMCL218のAPI呼び出しを起動する。この呼び出しの一例は、“Set_Rate(ユニットY、N MHz、許容の動的電力管理(DPM:dynamic power management)の程度、DPMの閾値、DPMのトレードオフを可能にする他の情報、動的バイアスでのSPMの開始バックバイアス、電力上昇フラグ用の待ち時間)”である。この例では、他の情報は、“電力オフにせずに、高Vt及びクロックオフを使用する”及び“400nsの閾値で主な待ち時間は10usである”でもよい。複数のクロックを有するいくつかの実施例では、PMCL218のAPI呼び出しにより、アプリケーションが全ての所要の周波数を特定することが可能になる。
PMCL218は、ステップ508において、所定の要件に最も合うものとしてユニットに該当する場合には、ユニットで利用可能な生じ得るトレードオフを決定し、周波数レート、Vdd及びVtを選択し、ステップ510において、適切なSPM264及び274を決定する。ある実施例では、MPM280又はIPMはステップ508及び510を実行する。複数クロックのいくつかの実施例では、特定されたVdd及びVtにより、全ての特定されたクロックがその所要周波数に一致すること又はそれを超過することが可能になる。
ステップ512において、PMCL218は、SPM264及び274の所望の設定をMPM280(又はIPM)に書き込む。ステップ514において、MPM280(又はIPM)は、ユニットに関連するSPM264及び274(又はIPM)用の1つ以上のコマンドに要求を変換する。
ステップ516において、アプリケーションが電力上昇フラグ用の待ち時間を設定している場合、PMCL218は、呼び出しから戻る前にIPユニットが完全に電力上昇するまで待機する。別法では、コマンドが肯定応答されるとすぐに、OKでは0の状態で、高速電力上昇では1の状態で、低速電力上昇では2の状態で、又はエラー状態では3+の状態で、呼び出しが戻る。電力上昇状態は、ユニットが単に電源オンになっていることではなく、ユニットが所要の周波数で所望のVddにあるときである。
ステップ518において、MPM280(又はIPM)は、適切な目的物に要求を送信する。ステップ520において、MPM280は、受信して実行されたメッセージ又は実行が開始したメッセージを示す肯定応答の受信を待機する。NACK又は否定応答もまた、SPM264及び274から返信されてもよい。
ステップ522において、SPM264はコマンドを受信し、動作を実行する。ステップ524-528は、SPM264が実行し得る可能な動作である。ステップ524において、SPM264はVdd muxを切り替える。ステップ526において、SPM264はクロックmuxを切り替える。ある実施例では、電圧が低下すると、ステップ526はステップ524の前に実行される。ステップ528では、SPM264は関連のトランジスタでVtを変化させる。SPM264が動作を実行した後に、ステップ530において、SPM264は肯定応答又は否定応答を示す状態メッセージをアップストリームで返信する。図5はステップ532で終了する。
図6は、本発明の例示的な実装におけるSPU290の内部回路600の図を示している。この実施例では、SPU290の内部回路600は、図2の集積回路250の内部にある。内部回路600は、外部電圧610と、ドロップアウト電圧レギュレータ620と、ドロップアウト電圧レギュレータ630と、論理ブロック640と、論理ブロック650とを有する。
図7は、本発明の例示的な実装におけるSPU290の外部回路700の図を示している。この実施例では、SPU290の外部回路700は、図2の集積回路250の外部にある。内部回路700は、外部電圧710と、電力供給ピン720と、電力供給ピン730と、電力供給ピン740と、論理ブロック750と、論理ブロック760と、論理ブロック770とを有する。SPU290の外部回路700は、DC/DC変換を提供する。DC/DC変換は、複数の独立した電力供給ピン720、730及び740を提供する。電力供給ピン720、730及び740は、それぞれ別々の電力ピンに可変電圧源を有する。また、ある実施例では、可変電圧は一定の範囲内であり、段階的である。ある実施例では、PMCL218は、電力供給ピン720、730及び740に対する電圧を制御する。
図8は、本発明の例示的な実施例におけるアプリケーション要求からSPUの動作までのフローチャートを示している。図8はステップ800で始まる。ステップ802において、アプリケーションが起動される。ステップ804において、アプリケーションは、IPユニットの性能に必要な周波数を決定する。例えば、アプリケーションは、MPEGデコーダ用のIPユニットについて、MHzで指定の周波数を決定する。
ステップ806において、アプリケーションはPMCL218のAPI呼び出しを起動する。この呼び出しの一例は、“Set_Rate(ユニットY、N MHz、許容の動的電力管理(DPM:dynamic power management)の程度、DPMの閾値、DPMのトレードオフを可能にする他の情報、動的バイアスでのSPMの開始バックバイアス、電力上昇フラグ用の待ち時間)”である。この例では、他の情報は、“電力オフにせずに、高Vt及びクロックオフを使用する”及び“400nsの閾値で主な待ち時間は10usである”でもよい。
PMCL218は、ステップ808において、ユニットで利用可能な生じ得るトレードオフを決定し、所用の周波数をサポートする最低の可能なVddを選択し、ステップ810において、影響を受けるSPM264及び274と、どの電力ピンを変更するかとを決定する。
ステップ812において、PMCL218は、MPM280にコマンドを送信し、SPM264及び274(及びIPM)にVdd変化の準備をさせる。ステップ814において、PMCL218はMPM280からの肯定応答を待機する。ステップ816において、PMCL218は、SPU290にコマンドを送信し、選択された電力ピンでのVddを変更し、影響を受けた領域が“落ち着く”ことを待機する。ステップ818において、PMCL218は、MPM280に“指定の周波数での動作をレジュームする”コマンドを送信する。ステップ820において、MPM280は、全ての影響を受けるSPM264及び274(及びIPM)にレジュームコマンドを伝搬する。ステップ822において、電力マネージャ(すなわち、MPM280、IPM、又はSPM264及び274)の1つは、指定の周波数を設定する。ステップ824において、IPユニットの動作は、クロックが落ち着いた後にレジュームする。図8はステップ826で終了する。ある実施例では、ユーザアプリケーションは、全体の動作が終了又は継続することを待機する選択肢を有し、動作の進行に関してPMCLに問い合わせ、又はPMCL218から“終了”の割込みを待機する。
図9は、本発明の例示的な実装において、電力低下前にIPユニットの状態を保存し、電力上昇のときにIPユニットの状態を回復するフローチャートを示している。図9はステップ900で始まる。ステップ902において、ユーザアプリケーションは、PMCL218がIPユニットを電源オフすることを要求し、IPユニットの状態を保存しようとする。ある実施例では、IPユニットの再構成に時間を要する。ステップ902での要求は、状態が保存されるべき領域のアドレスが伴ってもよい。
ステップ904において、PMCL218は、“クロックを中止してIPユニット状態を読み取る”メッセージをMPM280に送信する。ステップ906において、MPM280は、“クロックを中止してIPユニット状態を読み取る”メッセージを、影響を受けるユニットのSPM264及び274に伝搬する。ステップ908において、MPM280は、PMCL218への提示用にレジスタ又はバッファに状態を読み取るために、IPユニットのスキャン・チェーンを使用する。ステップ910において、PMCL218が保存領域のアドレスをMPM280に提供している場合には、MPMは指定の領域に直接に状態情報を保存する。ステップ912において、全てのIPユニットの状態が保存された後に、PMCL218は“IPユニットを電源オフする”メッセージをMPM280に送信する。ステップ914において、MPM280は“IPユニットを電源オフする”メッセージを伝搬する。ステップ916において、PMCL218は、ユーザアプリケーションに保存状態領域を返信する。保存状態領域は、ユニットの状態を含む。
後に、ステップ918において、PMCL218がIPユニットを電力上昇してIPユニットの状態を回復することを、ユーザアプリケーションが要求する。ある実施例では、ユーザアプリケーションの要求は、状態が保存された領域のアドレスを有する。ステップ902において、PMCL218は、“クロックオフでIPユニットを電力上昇して状態を回復する”メッセージをMPM280に送信する。ステップ922において、MPM280は、“クロックオフでIPユニットを電力上昇して状態を回復する”メッセージを、影響を受けるIPユニットのSPM264及び274に伝搬する。ステップ924において、IPユニットが電力上昇した後に、MPM280は、ユニットの状態を再ロードするためにスキャン・チェーンを使用する。ある実施例では、ユニットの状態の再ロードは、保存領域から、又はPMCL218からMPM280に渡された情報から直接に生じる。ステップ926では、PMCL218は、MPM280にメッセージを送信し、クロックを戻し、IPユニットが動作を継続する準備ができていることをユーザアプリケーションにレポートする。図9はステップ928で終了する。
ある実施例では、図9の同じ機能が内部“論理アナライザ”機能を実装するために使用される。その内部“論理アナライザ”機能では、当該IPユニットは、読み取られた後に電力低下しない。IPユニットの関連のSPM264及び274がクロックをシングルステップ又はマルチステップする機能を有する場合、ローカルのスキャン・テストは、SPM264及び274にIPユニットを“信号分離”させることにより実行される。次に、クロックをシングルステップ又はマルチステップする機能と、スキャン・チェーンを使用する機能との組み合わせを使用して、IPユニットの内部状態を読み書きすることができる。
図10は、本発明の例示的な実装におけるシステム・オン・チップ(SOC:system-on-a-chip)1000及び拡張ローカルメモリ1004の図を示している。SOC1000は、集積回路250の一例であり、前述のように図2の内蔵ファームウェアスタック210と通信する。SOC1000は、CPU1010と、ローカルメモリ1020と、メモリコントローラ1030と、混合信号回路1040と、アプリケーション特有回路1050と、PCI-X回路1060と、MPM1070と、リアルタイムクロック(RTC:real-time clock)1075と、Ethernet(登録商標)回路1080と、USB回路1090とを有する。CPU1010、ローカルメモリ1020、メモリコントローラ1030、混合信号回路1040、アプリケーション特有回路1050、PCI-X回路1060、MPM1070、Ethernet(登録商標)回路1080、及びUSB回路1090は全て、電力マネージャにより電力が電力の島の中で制御される電力の島である。この実施例では、電力の島は、SOC1000の部分の機能によって表される。
拡張ローカルメモリ1004は、バス1071に結合されているIPM1006を有する。CPU1010は、バス1071に結合されているSPM1015を有する。ローカルメモリ1020は、バス1071に結合されているSPM1025を有する。メモリコントローラ1030は、バス1071に結合されているSPM1035を有する。混合信号回路1040は、バス1071に結合されているSPM1045を有する。アプリケーション特有回路1050は、双方ともバス1072に結合されているIPM1055及びSPM1058を有する。PCI-X回路1060は、バス1072に結合されているSPM1065を有する。MPM1070は、バス1071及びバス1072に結合されている。MPM1070は、前述のMPM280の一例である。Ethernet(登録商標)回路1080は、バス1072に結合されているSPM1085を有する。USB回路1090は、バス1072に結合されているSPM1095を有する。
この実施例では、電力コマンドバスは、バス1071とバス1072とを有する。バス1071及び1072は、チップ境界を交差して電力マネージャを相互接続し得る簡単なマルチドロップのシリアルバスである。電力コマンドバスは、チップの領域毎に1つ存在して領域内でマルチドロップするバス1071やバス1072のようなシリアルバスの結合でもよい。他の実施例では、電力コマンドバスは、パラレルバス、又はシリアル及びパラレルバスの結合を有する。ある実施例では、電力コマンドバスはシステムバスである。電力コマンドバスは、関連のペイロードを備えた少なくともユニットIDを備えたメッセージを有してもよい。固定のポイント・ツー・ポイント・バスでは、メッセージはユニットIDを必要とせず、ペイロードだけでよい。
ある実施例では、電力コマンドバスは、パリティ、ECC又は冗長コードのようなエラー検出機構を使用する。ある実施例では、電力コマンドバスは、集積回路の設計に干渉しない低性能バスであり、ユーザに可視的ではない。ある実施例では、PMCL218とMPM1070との間の通信は、メモリにマッピングされSOC1000のABHのようなメインバスに基づく。
いくつかの実施例は、電力マネージャ間で状態情報をレポートする別々のバスを有してもよい。一例では、この別々のバスは、SPMからMPMへの非同期“警告”形式の状態メッセージを提供する。
電力コマンドバスに様々なメッセージフォーマットが存在する。基本フォーマットの一例では、メッセージフォーマットは、メッセージインジケータの開始、電力マネージャのアドレス、形式コード、基本コマンド及びメッセージインジケータの終了を有する。拡張フォーマットの一例では、メッセージフォーマットは、基本フォーマット、更なる長さ及び更なる情報を有する。応答メッセージフォーマットの一例では、メッセージフォーマットは、メッセージ応答インジケータの開始、電力マネージャのアドレス、3b ACK若しくはNACK又は返信状態(暗示のACK)、返信状態メッセージのペイロード長、返信状態メッセージのペイロード、NAKの理由及びメッセージインジケータの終了を有する。
ある実施例では、SPM1015又はIPM1006は、コマンドに応答する時にタイムアウトし、MPM1070は、プログラム可能な回数だけコマンドを再発行する。SPM1015又はIPM1006が依然として失敗する場合には、MPM1070はSPM1015又はIPM1006を使用不可能としてマークし、失敗をPMCL218にレポートする。一実施例では、PMCL218は、MPM1070に対して、スキャン・システムを介して失敗したSPM1015又はIPM1006を再初期化させ、メッセージの送信を再試行する。コマンドに対する無効な応答又は無効な状態のレポートのような他の条件も、再初期化により取り扱われる。ある実施例では、失敗したSPM1015又はIPM1006の状態は、後の分析のために読み取られて保存されてもよい。
図11は、本発明の例示的な実装において、IPM1055からPMCL218に“ホットスポット”レポートを生成するフローチャートを示している。図11はステップ1100で始まる。ステップ1102において、IPM1055は、SPMの温度統計を監視する。ステップ1104において、IPM1055は、平均温度が所定のプログラムされた閾値を超過したか否かを検査する。平均温度が閾値を超過していない場合、IPM1055はステップ1102に戻り、監視を続ける。平均温度が閾値を超過している場合、ステップ1106において、IPM1055は問題(“ホットスポット”)レポートメッセージを生成する。ステップ1108において、IPM1055は、MPMからの次の状態問い合わせを待機する。ある実施例では、MPM280への非同期状態レポートについて論理的に別々のバスが提供される。
ステップ1110において、MPM1070は、ホットスポットレポートのメッセージを受信する。ステップ1112において、MPM1070は、更なる確認を待機し(すなわち、“ホットスポット”が所定の閾値を最後に超過したことを検出する)、或いはMPM1070の内部ロジックに応じて問題を解決する動作を直ちに行う。ある実施例では、ステップ1114において、MPM1070は、注意を必要とするというPMCL280への割込みをポストすることにより、動作を行う。他の実施例では、PMCL280が十分に頻繁にMPM1070に問い合わせを行った場合に、割込みが必要なくなる。ステップ1116において、MPM1070(又はIPM)は、ローカルのトレードオフを行うことにより、動作を実行することにより、又は実行される動作を順序付けることにより、問題を解決する。問題を解決する一例は、ホットスポット領域の動作周波数を低減することである。ステップ1118において、MPM1070は問題と問題の解決との双方をアップストリームでレポートする。
ステップ1120において、PMCL218は、MPM1070から修正ホットスポットレポートを読み取る。ステップ1122において、PMCL218は、問題を解決するために何の動作を行うべきかを決定し、或いはGPAL214に問題を通知する。ステップ1124において、PCML218は、適切なコマンドをMPM1070に発行し、問題を解決する。このステップにおいて、ソフトウェアは問題を解決するために必要なトレードオフを行う。ある実施例では、GPAL214がハイレベルの解決を決定すると、GPAL214はMPMコマンドに変換されるようにそれをPMCL280に送信する。ステップ1126において、PMCL218は、指定の時間だけ問題の領域を監視し、問題が解決されたか否かを検査する。図11はステップ1128で終了する。
ある実施例では、図11の機能の種類は、PMCL218のような他のレベルで実行されてもよい。一例では、PMCL218は、そのローカルの条件に関して所望の測定機能(及びIPM)を有する全てのSPMに(MPM1070)を介して問い合わせ、例えば、動作し得る電力使用量の“マップ”を構築してもよい。
図12は、本発明の他の例示的な実装におけるシステム・オン・チップ(SOC:system-on-a-chip)1200の図を示している。SOC1200は、センサリンク1212と、RFリンク1214と、制御リンク1216と、ビデオリンク1294と、オーディオリンク1295と、インタフェースリンク1296と、制御リンク1297と、電力リンク1298とに接続されている。SOC1200は、クロック及び分配管理1210と、IPブロックの電力の島1220と、メモリの電力の島1230と、マイクロプロセッサの電力の島1240と、IPブロックの電力の島1250と、分配の電力の島1260と、IPブロックの電力の島1270と、IPブロックの電力の島1280と、電力及び分配管理1290とを有する。
IPブロックの電力の島1220はアナログ・デジタル変換器(ADC:analog-to-digital converter)を含むプロダクト標準インタフェースのIPブロック1222を有し、そのプロダクト標準インタフェースのIPブロック1222はSPM1224を有する。IPブロックの電力の島1230はメモリ1232を有し、そのメモリ1232はSPM1234を有する。マイクロプロセッサの電力の島1240は、マイクロプロセッサ1242を有し、そのマイクロプロセッサ1242はSPM1244を有する。IPブロックの電力の島1250はADCを含むプロダクト標準インタフェースのIPブロック1252を有し、そのプロダクト標準インタフェースのIPブロック1252はSPM1254を有する。分配の電力の島1260は、データ及び信号分配1262を有し、そのデータ及び信号分配1262はSPM1264を有する。IPブロックの電力の島1270はデジタルシグナルプロセッサ(DSP:digital signal processor)を含む汎用IPブロック1272を有し、その汎用IPブロック1272はSPM1274を有する。IPブロックの電力の島1280はプロダクト特有IPブロック1282を有し、そのプロダクト特有IPブロック1282はSPM1284を有する。電力及び分配管理1290はMPM1292を有する。
図13は、本発明の例示的な実装における電力の島を備えたチップを構成するフローチャートを示している。図13はステップ1300で始まる。ステップ1302において、IP又はライブラリセルが選択され、存在する場合にはチップを構築するために使用されるカスタムロジックが特定される。ある実施例では、最大及びサブクロックレートと、%アイドル時間と、最小及び最大Vddとが特定される。ステップ1304において、レジスタ転送レベル(RTL:register transfer level)が書き込まれる。ステップ1306において、RTLがシミュレーション及びデバッグされる。
RTLの書き込み中又は書き込み後に、ステップ1308において、MPM、IPM及びSPMブロックの注釈(annotation)を追加するためにソフトウェアツールが使用されてもよい。ある実施例では、所望の機能の選択肢が注釈で特定される。ある実施例では、SPMブロック毎に任意選択の機能が特定される。ある実施例では、モジュール毎にRTLがSPM構成情報で注釈を付される。ある実施例では、RTLの書き込み中又は書き込み後に、適切な注釈がモジュール毎に手動で挿入される。他の実施例では、適切なフォーマットの別々のテーブルがモジュール名及び各注釈を用いて作られる。ある実施例では、別々のソフトウェアツールは、別々のテーブルをインタラクティブに構築する機能を提供する。ある実施例では、ソフトウェアツールは、テーブルからの情報を使用して、RTLの注釈のないモジュールに適切な注釈を追加する。
ステップ1310において、電力の島毎に合成が行われ、電力の島は混合しない。ステップ1312において、Vdd及びVtの選択肢毎に各モジュールで性能測定ソフトウェアが動作し、MPMの注釈がRTLに追加される。ある実施例では、設計の性能は全ての所望の電圧とVtとの組み合わせで測定される。ソフトウェアツールは、SPM毎に実際の周波数、Vdd及びVtテーブルを導き、MPM(又はIPM)のRTLにSPMの特性の注釈を付ける。
ステップ1314において、電力の島毎に最後の合成が行われる。ある実施例では、モジュールは別々にルートされ又はSPMによる。ステップ316において、ソフトウェアツールが動作し、電力及びクロックを各SPMにつなぐ。ステップ1318は実行されるステップの残りである。ある実施例では、ソフトウェアツールは全ての情報を備えた最終リストを生成する。図13はステップ1320で終了する。
前述の要素は、記憶媒体に格納される命令から構成されてもよい。命令はプロセッサにより取り出されて実行されてもよい。命令のいくつかの例には、ソフトウェア、プログラムコード及びファームウェアがある。記憶媒体のいくつかの例には、メモリ装置、テープ、ディスク、集積回路及びサーバがある。命令は、プロセッサにより実行されると、本発明に従って動作するようにプロセッサを指示するように、動作可能である。当業者は、命令、プロセッサ及び記憶媒体に精通している。
前述の説明は例示的であり限定的ではない。この開示を検討することで、本発明の多数の変形形態が当業者に明らかになる。従って、本発明の範囲は、前述の説明を参照して決定されるのではなく、特許請求の範囲及びその均等の全範囲を参照して決定されるべきである。
本発明の実施例について、以下の項目を開示する。
(1)複数の電力の島を有する集積回路で電力を管理する方法であって、
消費電力が電力の島のそれぞれで独立して制御される前記電力の島のうち1つの目的の電力レベルを決定し、
前記電力の島のうち1つの消費電力レベルを前記目的の電力レベルに変更する動作を決定し、
前記電力の島のうち1つの消費電力レベルを前記目的の電力レベルに変更する動作を実行することを有する方法。
(2)(1)に記載の方法であって、
前記電力の島は、前記集積回路の地理的要因に基づいて表される方法。
(3)(1)に記載の方法であって、
前記電力の島は、前記集積回路の機能回路に基づいて表される方法。
(4)(1)に記載の方法であって、
前記電力の島は、電力の下位の島を有する方法。
(5)(1)に記載の方法であって、
前記電力の島は、複数のクロックドメインを有する方法。
(6)(1)に記載の方法であって、
前記動作は、前記電力の島のうち1つのクロック周波数を選択することを有する方法。
(7)(1)に記載の方法であって、
前記動作は、前記電力の島のうち1つのクロックを選択することを有する方法。
(8)(1)に記載の方法であって、
前記動作は、前記電力の島のうち1つの第1の電圧を変更することを有する方法。
(9)(8)に記載の方法であって、
前記第1の電圧は、ソース電圧を有する方法。
(10)(8)に記載の方法であって、
前記第1の電圧は、閾値電圧を有する方法。
(11)(1)に記載の方法であって、
前記動作は、前記電力の島のうち1つで電力をオンすることを有する方法。
(12)(1)に記載の方法であって、
前記動作は、前記電力の島のうち1つで電力をオフすることを有する方法。
(13)(1)に記載の方法であって、
前記動作は、前記電力の島のうち1つをスリープモードに変更することを有する方法。
(14)(1)に記載の方法であって、
前記電力の島のうち1つの消費電力レベルを監視し、
前記消費電力レベルに基づいて閾値レベルを交差したか否かを決定し、
前記閾値レベルの交差に基づいて前記動作を実行することを更に有する方法。
(15)(1)に記載の方法であって、
前記電力の島のうち1つの構成要素の状態を保存することを更に有する方法。
(16)(1)に記載の方法であって、
前記電力の島のうち1つの構成要素の状態を回復することを更に有する方法。
(17)消費電力が電力の島のそれぞれで独立して制御される複数の電力の島を有する集積回路用のシステムであって、
前記電力の島のうち1つの電力を制御するように構成された電力制御回路と、
前記電力の島のうち1つの目的の電力レベルを決定し、前記電力の島のうち1つの消費電力レベルを前記目的の電力レベルに変更する動作を決定し、前記電力の島のうち1つの消費電力レベルを前記目的の電力レベルに変更する動作を実行するように構成された電力マネージャと
を有するシステム。
(18)(17)に記載のシステムであって、
前記電力の島は、前記集積回路の地理的要因に基づいて表されるシステム。
(19)(17)に記載のシステムであって、
前記電力の島は、前記集積回路の機能回路に基づいて表されるシステム。
(20)(17)に記載のシステムであって、
前記電力の島は、電力の下位の島を有するシステム。
(21)(17)に記載のシステムであって、
前記電力の島は、複数のクロックドメインを有するシステム。
(22)(17)に記載のシステムであって、
前記動作は、前記電力の島のうち1つのクロック周波数を選択することを有するシステム。
(23)(17)に記載のシステムであって、
前記動作は、前記電力の島のうち1つのクロックを選択することを有するシステム。
(24)(17)に記載のシステムであって、
前記動作は、前記電力の島のうち1つの第1の電圧を変更することを有するシステム。
(25)(24)に記載のシステムであって、
前記第1の電圧は、ソース電圧を有するシステム。
(26)(24)に記載のシステムであって、
前記第1の電圧は、閾値電圧を有するシステム。
(27)(17)に記載のシステムであって、
前記動作は、前記電力の島のうち1つで電力をオンすることを有するシステム。
(28)(17)に記載のシステムであって、
前記動作は、前記電力の島のうち1つで電力をオフすることを有するシステム。
(29)(17)に記載のシステムであって、
前記動作は、前記電力の島のうち1つをスリープモードに変更することを有するシステム。
(30)(17)に記載のシステムであって、
前記電力マネージャは、
前記電力の島のうち1つの消費電力レベルを監視し、
前記消費電力レベルに基づいて閾値レベルを交差したか否かを決定し、
前記閾値レベルの交差に基づいて前記動作を実行するように構成されたシステム。
(31)(17)に記載のシステムであって、
前記電力マネージャは、前記電力の島のうち1つの構成要素の状態を保存するように構成されたシステム。
(32)(17)に記載のシステムであって、
前記電力マネージャは、前記電力の島のうち1つの構成要素の状態を回復するように構成されたシステム。
(33)(17)に記載のシステムであって、
前記電力マネージャと前記電力の島とに結合されたバスを更に有するシステム。
(34)(17)に記載のシステムであって、
前記電力マネージャは、前記集積回路の電力を制御するように構成されたマスターマネージャを有するシステム。
(35)(17)に記載のシステムであって、
前記電力マネージャは、前記電力の島のうち1つの電力を制御するように構成されたスレーブマネージャを有するシステム。
(36)(17)に記載のシステムであって、
前記電力制御回路は、クロック多重回路を有するシステム。
(37)(17)に記載のシステムであって、
前記電力制御回路は、電圧多重回路を有するシステム。
(38)(17)に記載のシステムであって、
前記電力制御回路は、レベルシフト回路を有するシステム。
(39)複数の電力の島を有する集積回路で電力を管理するソフトウェアプロダクトであって、
プロセッサにより実行されると、消費電力が電力の島のそれぞれで独立して制御される前記電力の島のうち1つの目的の電力レベルを決定し、前記電力の島のうち1つの消費電力レベルを前記目的の電力レベルに変更する動作を決定し、前記電力の島のうち1つの消費電力レベルを前記目的の電力レベルに変更する動作を実行するように、前記プロセッサに指示するように動作可能な電力管理ソフトウェアと、
前記電力管理ソフトウェアを格納するように動作可能なソフトウェア記憶媒体と
を有するソフトウェアプロダクト。
(40)(39)に記載のソフトウェアプロダクトであって、
前記電力の島は、前記集積回路の地理的要因に基づいて表されるソフトウェアプロダクト。
(41)(39)に記載のソフトウェアプロダクトであって、
前記電力の島は、前記集積回路の機能回路に基づいて表されるソフトウェアプロダクト。
(42)(39)に記載のソフトウェアプロダクトであって、
前記電力の島は、電力の下位の島を有するソフトウェアプロダクト。
(43)(39)に記載のソフトウェアプロダクトであって、
前記電力の島は、複数のクロックドメインを有するソフトウェアプロダクト。
(44)(39)に記載のソフトウェアプロダクトであって、
前記動作は、前記電力の島のうち1つのクロック周波数を選択することを有する方法。
(45)(39)に記載のソフトウェアプロダクトであって、
前記動作は、前記電力の島のうち1つのクロックを選択することを有するソフトウェアプロダクト。
(46)(39)に記載のソフトウェアプロダクトであって、
前記動作は、前記電力の島のうち1つの第1の電圧を変更することを有するソフトウェアプロダクト。
(47)(46)に記載のソフトウェアプロダクトであって、
前記第1の電圧は、ソース電圧を有するソフトウェアプロダクト。
(48)(46)に記載のソフトウェアプロダクトであって、
前記第1の電圧は、閾値電圧を有するソフトウェアプロダクト。
(49)(39)に記載のソフトウェアプロダクトであって、
前記動作は、前記電力の島のうち1つで電力をオンすることを有するソフトウェアプロダクト。
(50)(39)に記載のソフトウェアプロダクトであって、
前記動作は、前記電力の島のうち1つで電力をオフすることを有するソフトウェアプロダクト。
(51)(39)に記載のソフトウェアプロダクトであって、
前記動作は、前記電力の島のうち1つをスリープモードに変更することを有するソフトウェアプロダクト。
(52)(39)に記載のソフトウェアプロダクトであって、
前記電力管理ソフトウェアは、
前記プロセッサにより実行されると、前記電力の島のうち1つの消費電力レベルを監視し、前記消費電力レベルに基づいて閾値レベルを交差したか否かを決定し、前記閾値レベルの交差に基づいて前記動作を実行するように、前記プロセッサに指示するように動作可能なソフトウェアプロダクト。
(53)(39)に記載のソフトウェアプロダクトであって、
前記電力管理ソフトウェアは、
前記プロセッサにより実行されると、前記電力の島のうち1つの構成要素の状態を保存するように、前記プロセッサに指示するように動作可能なソフトウェアプロダクト。
(54)(39)に記載のソフトウェアプロダクトであって、
前記電力管理ソフトウェアは、
前記プロセッサにより実行されると、前記電力の島のうち1つの構成要素の状態を回復するように、前記プロセッサに指示するように動作可能なソフトウェアプロダクト。

Claims (63)

  1. 集積回路と、
    それぞれ独立して制御されるように適合された関連する消費電力を有する前記集積回路の複数の電力の島と、
    前記消費電力を制御する電力マネージャと
    を有し、
    前記電力の島のうち少なくとも1つは、a)前記電力の島のうち前記少なくとも1つが、可変閾値トランジスタの複数の閾値電圧のうち1つを選択させるように構成され、及びb)ステムが、動作中に、前記電力の島のうち前記少なくとも1つで、動作周波数の変更又は供給電圧の変更を含む動作を実行するように構成されることにより、
    前記電力マネージャに対して関連する消費電力を制御させるように適合されるシステム。
  2. 請求項1に記載のシステムであって、
    前記電力マネージャは、プログラム可能なプロセッサを有するシステム。
  3. 請求項1に記載のシステムであって、
    前記集積回路は、動作中に、前記動作周波数の変更と前記供給電圧の変更との双方を実行するように構成されるシステム。
  4. 請求項1に記載のシステムであって、
    前記システムは、前記供給電圧を外部レギュレータにより提供させるように構成されるシステム。
  5. 請求項4に記載のシステムであって、
    前記外部レギュレータは、前記電力マネージャにより制御されるように構成されるシステム。
  6. 請求項1に記載のシステムであって、
    前記供給電圧を提供する内部レギュレータを更に有するシステム。
  7. 請求項6に記載のシステムであって、
    前記内部レギュレータは、前記電力マネージャにより制御されるように構成されるシステム。
  8. 請求項1に記載のシステムであって、
    前記電力の島のうち前記少なくとも1つは、前記集積回路の動作中に前記複数の閾値電圧のうち1つを選択させるように構成されるシステム。
  9. 請求項1に記載のシステムであって、
    前記供給電圧を提供するドロップアウト電圧レギュレータを更に有するシステム。
  10. 請求項1に記載のシステムであって、
    前記集積回路は、前記供給電圧を前記電力の島のうち前記少なくとも1つに対するVdd多重により制御させるように構成されるシステム。
  11. 請求項1に記載のシステムであって、
    前記集積回路は、前記閾値電圧をバックバイアスにより選択させるように構成されるシステム。
  12. 請求項1に記載のシステムであって、
    前記集積回路は、前記電力マネージャと、前記複数の電力の島とを有するシステム。
  13. 請求項1に記載のシステムであって、
    前記集積回路は、前記集積回路の外部のソースから前記電力の島のうち前記少なくとも1つに提供される電圧の調整により、前記供給電圧を変更させるように構成されるシステム。
  14. 請求項13に記載のシステムであって、
    前記調整を実行する内部レギュレータを更に有するシステム。
  15. 請求項13に記載のシステムであって、
    前記調整は、外部レギュレータにより実行されるシステム。
  16. 請求項1に記載のシステムであって、
    前記電力マネージャは、温度拡散又は電力損失の測定を使用して、前記関連する消費電力のうち1つ以上を監視するように構成されるシステム。
  17. それぞれ独立して制御される関連する消費電力をそれぞれ有する集積回路の複数の電力の島の消費電力を制御するために、集積回路内で電力マネージャを使用し、
    a)可変閾値トランジスタの閾値電圧を選択し、及びb)動作中に、i)動作周波数及びii)供給電圧のうち少なくとも1つを変更することにより、前記電力の島のうち少なくとも1つの消費電力を制御することを有する方法。
  18. 請求項17に記載の方法であって、
    前記可変閾値トランジスタの閾値電圧は、前記集積回路の動作中に選択されるシステム。
  19. 請求項17に記載の方法であって、
    前記供給電圧が変更され、
    前記供給電圧の変更は、前記集積回路に対して外部のソースから前記電力の島のうち前記少なくとも1つに提供される電圧を調整することを含む方法。
  20. 請求項17に記載の方法であって、
    前記供給電圧が変更され、
    前記供給電圧の変更は、前記電力の島のうち前記少なくとも1つに対するVdd多重を含む方法。
  21. 請求項17に記載の方法であって、
    前記閾値電圧を選択することは、バックバイアスを含む方法。
  22. 請求項17に記載の方法であって、
    前記電力マネージャは、温度拡散又は電力損失の測定を使用して、前記消費電力のうち1つ以上を監視する方法。
  23. 集積回路と、
    前記集積回路の複数の電力の島と、
    それぞれ独立して制御可能な前記電力の島の消費電力を制御する電力マネージャと
    を有し、
    前記電力の島のうち少なくとも1つは、a)前記電力の島のうち前記少なくとも1つが、可変閾値トランジスタの複数の閾値電圧のうち1つを選択し、及びb)ステムが、動作中に、前記電力の島のうち前記少なくとも1つで、動作周波数の変更又は供給電圧の変更を含む動作を実行することにより、前記電力マネージャに対して前記電力の島のうち前記少なくとも1つの消費電力を制御させることが可能であるシステム。
  24. 請求項23に記載のシステムであって、
    前記電力マネージャは、プログラム可能なプロセッサを有するシステム。
  25. 請求項23に記載のシステムであって、
    前記動作周波数の変更と前記供給電圧の変更とは、動作中に実行されるシステム。
  26. 請求項23に記載のシステムであって、
    前記システムは、前記供給電圧を外部レギュレータにより変更させることができるシステム。
  27. 請求項26に記載のシステムであって、
    前記外部レギュレータは、前記電力マネージャにより制御可能であるシステム。
  28. 請求項23に記載のシステムであって、
    前記供給電圧を変更する内部レギュレータを更に有するシステム。
  29. 請求項28に記載のシステムであって、
    前記内部レギュレータは、前記電力マネージャにより制御可能であるシステム。
  30. 請求項23に記載のシステムであって、
    前記電力の島のうち前記少なくとも1つは、前記システムの動作中に前記複数の閾値電圧のうち1つを選択するシステム。
  31. 請求項23に記載のシステムであって、
    前記供給電圧を提供するドロップアウト電圧レギュレータを更に有するシステム。
  32. 請求項23に記載のシステムであって、
    前記供給電圧は、Vdd多重により制御可能であるシステム。
  33. 請求項23に記載のシステムであって、
    前記閾値電圧は、バックバイアスにより選択可能であるシステム。
  34. 請求項23に記載のシステムであって、
    前記集積回路は、前記電力マネージャと、前記複数の電力の島とを有するシステム。
  35. 集積回路チップと、
    それぞれ独立して制御されるように適合された関連する消費電力を有する前記集積回路チップに配置された複数の電力の島と、
    前記集積回路チップに配置され、前記消費電力を制御する電力マネージャと
    を有し、
    前記電力の島のうち少なくとも1つは、a)前記電力の島のうち前記少なくとも1つが、可変閾値トランジスタの複数の閾値電圧のうち1つを選択させるように構成され、及びb)集積回路が、動作中に、前記電力の島のうち前記少なくとも1つで、動作周波数の変更又は供給電圧の変更を含む動作を実行するように構成されることにより、
    前記電力マネージャに対して関連する消費電力を制御させるように適合される集積回路。
  36. 請求項35に記載の集積回路であって、
    前記電力マネージャは、プログラム可能なプロセッサを有する集積回路。
  37. 請求項35に記載の集積回路であって、
    前記集積回路は、動作中に、前記動作周波数の変更と前記供給電圧の変更との双方を実行するように構成される集積回路。
  38. 請求項35に記載の集積回路であって、
    前記集積回路は、前記供給電圧を外部レギュレータにより提供させるように構成される集積回路。
  39. 請求項38に記載の集積回路であって、
    前記外部レギュレータは、前記電力マネージャにより制御されるように構成される集積回路。
  40. 請求項35に記載の集積回路であって、
    前記供給電圧を提供する内部レギュレータを更に有する集積回路。
  41. 請求項40に記載の集積回路であって、
    前記内部レギュレータは、前記電力マネージャにより制御されるように構成される集積回路。
  42. 請求項35に記載の集積回路であって、
    前記電力の島のうち前記少なくとも1つは、前記集積回路の動作中に前記複数の閾値電圧のうち1つを選択させるように構成される集積回路。
  43. 請求項35に記載の集積回路であって、
    前記供給電圧を提供するドロップアウト電圧レギュレータを更に有する集積回路。
  44. 請求項35に記載の集積回路であって、
    前記集積回路は、前記供給電圧を前記電力の島のうち前記少なくとも1つに対するVdd多重により制御させるように構成される集積回路。
  45. 請求項35に記載の集積回路であって、
    前記集積回路は、前記閾値電圧をバックバイアスにより選択させるように構成される集積回路。
  46. 請求項35に記載の集積回路であって、
    前記集積回路は、前記電力マネージャと、前記複数の電力の島とを有する集積回路。
  47. 集積回路チップと、
    前記集積回路チップに配置された複数の電力の島と、
    前記集積回路チップに配置され、それぞれ独立して制御可能な前記電力の島の消費電力を制御する電力マネージャと
    を有し、
    前記電力の島のうち少なくとも1つは、a)前記電力の島のうち前記少なくとも1つが、可変閾値トランジスタの複数の閾値電圧のうち1つを選択し、及びb)集積回路が、動作中に、前記電力の島のうち前記少なくとも1つで、動作周波数の変更又は供給電圧の変更を含む動作を実行することにより、前記電力マネージャに対して前記電力の島のうち前記少なくとも1つの消費電力を制御させることが可能である集積回路。
  48. 請求項47に記載の集積回路であって、
    前記電力マネージャは、プログラム可能なプロセッサを有する集積回路。
  49. 請求項47に記載の集積回路であって、
    前記動作周波数の変更と前記供給電圧の変更とは、動作中に実行される集積回路。
  50. 請求項47に記載の集積回路であって、
    前記集積回路は、前記供給電圧を外部レギュレータにより変更させることができる集積回路。
  51. 請求項50に記載の集積回路であって、
    前記外部レギュレータは、前記電力マネージャにより制御可能である集積回路。
  52. 請求項47に記載の集積回路であって、
    前記供給電圧を変更する内部レギュレータを更に有する集積回路。
  53. 請求項52に記載の集積回路であって、
    前記内部レギュレータは、前記電力マネージャにより制御可能である集積回路。
  54. 請求項47に記載の集積回路であって、
    前記電力の島のうち前記少なくとも1つは、前記集積回路の動作中に前記複数の閾値電圧のうち1つを選択する集積回路。
  55. 請求項47に記載の集積回路であって、
    前記供給電圧を提供するドロップアウト電圧レギュレータを更に有する集積回路。
  56. 請求項47に記載の集積回路であって、
    前記供給電圧は、Vdd多重により制御可能である集積回路。
  57. 請求項47に記載の集積回路であって、
    前記閾値電圧は、バックバイアスにより選択可能である集積回路。
  58. 請求項47に記載の集積回路であって、
    前記集積回路は、前記電力マネージャと、前記複数の電力の島とを有する集積回路。
  59. 集積回路と、
    それぞれ独立して制御されるように適合された関連する消費電力を有する前記集積回路の複数の電力の島と、
    前記消費電力を制御する電力マネージャと
    を有し、
    前記電力の島のうち少なくとも1つは、a)前記電力の島のうち前記少なくとも1つが、可変閾値トランジスタの複数の閾値電圧のうち1つを選択させるように構成され、及びb)システムが、動作中に、前記電力の島のうち前記少なくとも1つで、動作周波数の変更及び供給電圧の変更を含む動作を実行するように構成されることにより、
    前記電力マネージャに対して関連する消費電力を制御させるように適合されるシステム。
  60. それぞれ独立して制御される関連する消費電力をそれぞれ有する集積回路の複数の電力の島の消費電力を制御するために、集積回路内で電力マネージャを使用し、
    a)可変閾値トランジスタの閾値電圧を選択し、及びb)動作中に、i)動作周波数及びii)供給電圧を変更することにより、前記電力の島のうち少なくとも1つの消費電力を制御することを有する方法。
  61. 集積回路と、
    前記集積回路の複数の電力の島と、
    それぞれ独立して制御可能な前記電力の島の消費電力を制御する電力マネージャと
    を有し、
    前記電力の島のうち少なくとも1つは、a)前記電力の島のうち前記少なくとも1つが、可変閾値トランジスタの複数の閾値電圧のうち1つを選択し、及びb)システムが、動作中に、前記電力の島のうち前記少なくとも1つで、動作周波数の変更及び供給電圧の変更を含む動作を実行することにより、前記電力マネージャに対して前記電力の島のうち前記少なくとも1つの消費電力を制御させることが可能であるシステム。
  62. 集積回路チップと、
    それぞれ独立して制御されるように適合された関連する消費電力を有する前記集積回路チップに配置された複数の電力の島と、
    前記集積回路チップに配置され、前記消費電力を制御する電力マネージャと
    を有し、
    前記電力の島のうち少なくとも1つは、a)前記電力の島のうち前記少なくとも1つが、可変閾値トランジスタの複数の閾値電圧のうち1つを選択させるように構成され、及びb)集積回路が、動作中に、前記電力の島のうち前記少なくとも1つで、動作周波数の変更及び供給電圧の変更を含む動作を実行するように構成されることにより、
    前記電力マネージャに対して関連する消費電力を制御させるように適合される集積回路。
  63. 集積回路チップと、
    前記集積回路チップに配置された複数の電力の島と、
    前記集積回路チップに配置され、それぞれ独立して制御可能な前記電力の島の消費電力を制御する電力マネージャと
    を有し、
    前記電力の島のうち少なくとも1つは、a)前記電力の島のうち前記少なくとも1つが、可変閾値トランジスタの複数の閾値電圧のうち1つを選択し、及びb)集積回路が、動作中に、前記電力の島のうち前記少なくとも1つで、動作周波数の変更及び供給電圧の変更を含む動作を実行することにより、前記電力マネージャに対して前記電力の島のうち前記少なくとも1つの消費電力を制御させることが可能である集積回路。
JP2009193326A 2003-05-07 2009-08-24 電力の島を使用した集積回路での電力の管理 Expired - Fee Related JP4992131B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US46874203P 2003-05-07 2003-05-07
US60/468,742 2003-05-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006532832A Division JP2007501478A (ja) 2003-05-07 2004-05-07 電力の島を使用した集積回路での電力の管理

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012021186A Division JP2012123823A (ja) 2003-05-07 2012-02-02 電力の島を使用した集積回路での電力の管理

Publications (3)

Publication Number Publication Date
JP2009277252A JP2009277252A (ja) 2009-11-26
JP2009277252A5 JP2009277252A5 (ja) 2011-07-28
JP4992131B2 true JP4992131B2 (ja) 2012-08-08

Family

ID=33452226

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2006532832A Withdrawn JP2007501478A (ja) 2003-05-07 2004-05-07 電力の島を使用した集積回路での電力の管理
JP2009193326A Expired - Fee Related JP4992131B2 (ja) 2003-05-07 2009-08-24 電力の島を使用した集積回路での電力の管理
JP2012021186A Pending JP2012123823A (ja) 2003-05-07 2012-02-02 電力の島を使用した集積回路での電力の管理
JP2013040851A Pending JP2013117994A (ja) 2003-05-07 2013-03-01 電力の島を使用した集積回路での電力の管理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006532832A Withdrawn JP2007501478A (ja) 2003-05-07 2004-05-07 電力の島を使用した集積回路での電力の管理

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2012021186A Pending JP2012123823A (ja) 2003-05-07 2012-02-02 電力の島を使用した集積回路での電力の管理
JP2013040851A Pending JP2013117994A (ja) 2003-05-07 2013-03-01 電力の島を使用した集積回路での電力の管理

Country Status (7)

Country Link
US (11) US7051306B2 (ja)
EP (2) EP1623349B1 (ja)
JP (4) JP2007501478A (ja)
KR (4) KR101189346B1 (ja)
CN (1) CN100416573C (ja)
TW (3) TWI471714B (ja)
WO (1) WO2004102623A2 (ja)

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6895520B1 (en) 2001-03-02 2005-05-17 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US7039819B1 (en) * 2003-04-30 2006-05-02 Advanced Micro Devices, Inc. Apparatus and method for initiating a sleep state in a system on a chip device
TWI471714B (zh) 2003-05-07 2015-02-01 考文森智財管理公司 具有功率管理之系統及用於管理功率之方法
WO2004109531A2 (en) * 2003-06-10 2004-12-16 Koninklijke Philips Electronics N.V. Real-time adaptive control for best ic performance
US7170315B2 (en) 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
US7080341B2 (en) 2003-09-09 2006-07-18 Robert Eisenstadt Apparatus and method for integrated circuit power management
US7227383B2 (en) * 2004-02-19 2007-06-05 Mosaid Delaware, Inc. Low leakage and data retention circuitry
US7138824B1 (en) * 2004-05-10 2006-11-21 Actel Corporation Integrated multi-function analog circuit including voltage, current, and temperature monitor and gate-driver circuit blocks
KR100750590B1 (ko) * 2004-06-15 2007-08-20 삼성전자주식회사 파워-업시 내부 전원 전압 제어 방법 및 장치, 이를가지는 반도체 메모리 장치
US7926008B2 (en) * 2004-06-28 2011-04-12 Broadcom Corporation Integrated circuit with on-board power utilization information
US7409315B2 (en) 2004-06-28 2008-08-05 Broadcom Corporation On-board performance monitor and power control system
US9281718B2 (en) * 2004-06-28 2016-03-08 Broadcom Corporation On-board power supply monitor and power control system
US7382178B2 (en) * 2004-07-09 2008-06-03 Mosaid Technologies Corporation Systems and methods for minimizing static leakage of an integrated circuit
US7984398B1 (en) * 2004-07-19 2011-07-19 Synopsys, Inc. Automated multiple voltage/power state design process and chip description system
US7434073B2 (en) * 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US20060119382A1 (en) * 2004-12-07 2006-06-08 Shumarayev Sergey Y Apparatus and methods for adjusting performance characteristics of programmable logic devices
US7131099B2 (en) * 2004-12-09 2006-10-31 International Business Machines Corporation Method, apparatus, and computer program product for RTL power sequencing simulation of voltage islands
US7275164B2 (en) * 2005-01-31 2007-09-25 International Business Machines Corporation System and method for fencing any one of the plurality of voltage islands using a lookup table including AC and DC components for each functional block of the voltage islands
US7571407B2 (en) * 2005-04-08 2009-08-04 Kabushiki Kaisha Toshiba Semiconductor integrated circuit and method of testing delay thereof
US7454738B2 (en) * 2005-06-10 2008-11-18 Purdue Research Foundation Synthesis approach for active leakage power reduction using dynamic supply gating
US7482792B2 (en) 2005-06-14 2009-01-27 Intel Corporation IC with fully integrated DC-to-DC power converter
US7598630B2 (en) 2005-07-29 2009-10-06 Intel Corporation IC with on-die power-gating circuit
US7574683B2 (en) * 2005-08-05 2009-08-11 John Wilson Automating power domains in electronic design automation
US7264985B2 (en) * 2005-08-31 2007-09-04 Freescale Semiconductor, Inc. Passive elements in MRAM embedded integrated circuits
WO2007027833A2 (en) * 2005-09-02 2007-03-08 Cypress Semiconductor Corp. Circuit, system, and method for multiplexing signals with reduced jitter
US7554843B1 (en) * 2005-11-04 2009-06-30 Alta Analog, Inc. Serial bus incorporating high voltage programming signals
US7716612B1 (en) * 2005-12-29 2010-05-11 Tela Innovations, Inc. Method and system for integrated circuit optimization by using an optimized standard-cell library
US7421601B2 (en) * 2006-02-17 2008-09-02 International Business Machines Corporation Method and system for controlling power in a chip through a power-performance monitor and control unit
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7454642B2 (en) * 2006-03-31 2008-11-18 International Business Machines Corporation Method and architecture for power management of an electronic device
US7739629B2 (en) * 2006-04-14 2010-06-15 Cadence Design Systems, Inc. Method and mechanism for implementing electronic designs having power information specifications background
WO2007144825A1 (en) * 2006-06-15 2007-12-21 Koninklijke Philips Electronics N.V. A method of balancing power consumption between loads.
US7949887B2 (en) 2006-11-01 2011-05-24 Intel Corporation Independent power control of processing cores
US8397090B2 (en) * 2006-12-08 2013-03-12 Intel Corporation Operating integrated circuit logic blocks at independent voltages with single voltage supply
US7899434B2 (en) * 2006-12-15 2011-03-01 Broadcom Corporation Power management for a mobile communication device and method for use therewith
KR101424534B1 (ko) * 2006-12-31 2014-08-01 샌디스크 테크놀로지스, 인코포레이티드 파워 아일랜드 경계에서 보호를 갖는 시스템, 회로, 칩 및 방법
WO2008083373A2 (en) * 2006-12-31 2008-07-10 Sandisk Corporation Systems, methods, and integrated circuits with inrush-limited power islands
US20080162954A1 (en) * 2006-12-31 2008-07-03 Paul Lassa Selectively powered data interfaces
US8304813B2 (en) * 2007-01-08 2012-11-06 SanDisk Technologies, Inc. Connection between an I/O region and the core region of an integrated circuit
TW200835151A (en) * 2007-02-15 2008-08-16 Univ Nat Chiao Tung Low-power dynamic sequential controlling multiplexer
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US7735030B1 (en) * 2007-02-28 2010-06-08 Cadence Design Systems, Inc. Simulating restorable registers in power domain systems
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8135944B2 (en) * 2007-03-14 2012-03-13 Sandisk Technologies Inc. Selectively powered data interfaces
US7739626B2 (en) * 2007-04-20 2010-06-15 Iwatt Inc. Method and apparatus for small die low power system-on-chip design with intelligent power supply chip
US7954078B1 (en) * 2007-06-29 2011-05-31 Cadence Design Systems, Inc. High level IC design with power specification and power source hierarchy
US8122273B2 (en) * 2007-07-18 2012-02-21 International Business Machines Corporation Structure and method to optimize computational efficiency in low-power environments
US8055925B2 (en) * 2007-07-18 2011-11-08 International Business Machines Corporation Structure and method to optimize computational efficiency in low-power environments
US20090037629A1 (en) * 2007-08-01 2009-02-05 Broadcom Corporation Master slave core architecture with direct buses
US7941679B2 (en) 2007-08-10 2011-05-10 Atrenta, Inc. Method for computing power savings and determining the preferred clock gating circuit of an integrated circuit design
US8205182B1 (en) * 2007-08-22 2012-06-19 Cadence Design Systems, Inc. Automatic synthesis of clock distribution networks
US7710800B2 (en) * 2007-12-12 2010-05-04 International Business Machines Corporation Managing redundant memory in a voltage island
US7715995B2 (en) * 2007-12-14 2010-05-11 International Business Machines Corporation Design structure for measurement of power consumption within an integrated circuit
US20090157334A1 (en) * 2007-12-14 2009-06-18 Kenneth Joseph Goodnow Measurement of power consumption within an integrated circuit
US8327173B2 (en) 2007-12-17 2012-12-04 Nvidia Corporation Integrated circuit device core power down independent of peripheral device operation
US8112641B2 (en) * 2007-12-26 2012-02-07 Cisco Technology, Inc. Facilitating communication and power transfer between electrically-isolated powered device subsystems
US7830039B2 (en) * 2007-12-28 2010-11-09 Sandisk Corporation Systems and circuits with multirange and localized detection of valid power
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US9411390B2 (en) 2008-02-11 2016-08-09 Nvidia Corporation Integrated circuit device having power domains and partitions based on use case power optimization
US20090204835A1 (en) * 2008-02-11 2009-08-13 Nvidia Corporation Use methods for power optimization using an integrated circuit having power domains and partitions
US7898285B2 (en) * 2008-03-26 2011-03-01 International Business Machines Corporation Optimal local supply voltage determination circuit
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US9423846B2 (en) 2008-04-10 2016-08-23 Nvidia Corporation Powered ring to maintain IO state independent of the core of an integrated circuit device
US8762759B2 (en) 2008-04-10 2014-06-24 Nvidia Corporation Responding to interrupts while in a reduced power state
US8607177B2 (en) 2008-04-10 2013-12-10 Nvidia Corporation Netlist cell identification and classification to reduce power consumption
SG10201608214SA (en) 2008-07-16 2016-11-29 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US20100057404A1 (en) * 2008-08-29 2010-03-04 International Business Machines Corporation Optimal Performance and Power Management With Two Dependent Actuators
US8001405B2 (en) * 2008-08-29 2011-08-16 International Business Machines Corporation Self-tuning power management techniques
GB2464510B (en) * 2008-10-17 2013-09-04 Advanced Risc Mach Ltd Power control of an integrated circuit including an array of interconnected configurable logic elements
US9189049B2 (en) * 2008-12-24 2015-11-17 Stmicroelectronics International N.V. Power management in a device
US8161304B2 (en) * 2009-01-20 2012-04-17 Microsoft Corporation Power management for large memory subsystems
US9003340B2 (en) * 2009-01-30 2015-04-07 Active-Semi, Inc. Communicating configuration information across a programmable analog tile to another tile
US8341582B2 (en) * 2009-01-30 2012-12-25 Active-Semi, Inc. Programmable analog tile configuration tool
US8248152B2 (en) 2009-02-25 2012-08-21 International Business Machines Corporation Switched capacitor voltage converters
US8127167B2 (en) * 2009-03-30 2012-02-28 Mediatek Inc. Methods for reducing power consumption and devices using the same
US8174288B2 (en) 2009-04-13 2012-05-08 International Business Machines Corporation Voltage conversion and integrated circuits with stacked voltage domains
EP2437170A4 (en) 2009-05-25 2013-03-13 Panasonic Corp MULTIPROCESSOR SYSTEM, MULTIPROCESSOR CONTROL METHOD AND INTEGRATED MULTIPROCESSOR CIRCUIT
US8004922B2 (en) 2009-06-05 2011-08-23 Nxp B.V. Power island with independent power characteristics for memory and logic
US8385148B2 (en) * 2009-06-15 2013-02-26 Broadcom Corporation Scalable, dynamic power management scheme for switching architectures utilizing multiple banks
US8533388B2 (en) * 2009-06-15 2013-09-10 Broadcom Corporation Scalable multi-bank memory architecture
US8370683B1 (en) 2009-07-31 2013-02-05 Western Digital Technologies, Inc. System and method to reduce write splice failures
US7977972B2 (en) 2009-08-07 2011-07-12 The Board Of Trustees Of The University Of Arkansas Ultra-low power multi-threshold asynchronous circuit design
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8276002B2 (en) * 2009-11-23 2012-09-25 International Business Machines Corporation Power delivery in a heterogeneous 3-D stacked apparatus
US8502590B2 (en) * 2009-12-14 2013-08-06 The Boeing Company System and method of controlling devices operating within different voltage ranges
US9058440B1 (en) * 2009-12-15 2015-06-16 Cadence Design Systems, Inc. Method and mechanism for verifying and simulating power aware mixed-signal electronic designs
US8356194B2 (en) 2010-01-28 2013-01-15 Cavium, Inc. Method and apparatus for estimating overshoot power after estimating power of executing events
JP5610566B2 (ja) * 2010-02-22 2014-10-22 ルネサスエレクトロニクス株式会社 半導体装置及びデータ処理システム
US20120017100A1 (en) * 2010-02-25 2012-01-19 Emmanuel Petit Power System Optimization and Verification for Embedded System Design
US8271812B2 (en) * 2010-04-07 2012-09-18 Apple Inc. Hardware automatic performance state transitions in system on processor sleep and wake events
KR20110124617A (ko) * 2010-05-11 2011-11-17 삼성전자주식회사 시스템-온-칩 및 그것의 디버깅 방법
US20110283130A1 (en) * 2010-05-17 2011-11-17 Global Unichip Corporation Power control manager
US8629705B2 (en) 2010-06-07 2014-01-14 International Business Machines Corporation Low voltage signaling
CN102314208B (zh) * 2010-06-30 2016-08-03 重庆重邮信科通信技术有限公司 一种动态调整嵌入式设备频率电压的方法及装置
TWI411930B (zh) * 2010-07-15 2013-10-11 Faraday Tech Corp 系統階層模擬/驗證系統及其方法
US8601288B2 (en) 2010-08-31 2013-12-03 Sonics, Inc. Intelligent power controller
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9709625B2 (en) * 2010-11-19 2017-07-18 International Business Machines Corporation Measuring power consumption in an integrated circuit
US8756442B2 (en) 2010-12-16 2014-06-17 Advanced Micro Devices, Inc. System for processor power limit management
JP5630870B2 (ja) * 2011-02-18 2014-11-26 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法及びプログラム
US20120226949A1 (en) * 2011-03-02 2012-09-06 Texas Instruments Incorporated Multi-Channel Bus Protection
JP5647062B2 (ja) * 2011-04-28 2014-12-24 富士通フロンテック株式会社 最大消費電力軽減装置
CN102318289B (zh) 2011-07-29 2014-12-10 华为技术有限公司 带宽调整方法、总线控制器及信号转换器
US8918102B2 (en) 2011-07-29 2014-12-23 At&T Intellectual Property I, L.P. Method and system for selecting from a set of candidate frequency bands associated with a wireless access point
KR101861743B1 (ko) * 2011-09-19 2018-05-30 삼성전자주식회사 이종의 전력 제어와 동종의 전력 제어를 선택적으로 수행할 수 있는 시스템-온 칩과 이의 동작 방법
US8868941B2 (en) * 2011-09-19 2014-10-21 Sonics, Inc. Apparatus and methods for an interconnect power manager
US9680773B1 (en) 2011-09-26 2017-06-13 Altera Corporation Integrated circuit with dynamically-adjustable buffer space for serial interface
JP5660010B2 (ja) * 2011-11-21 2015-01-28 トヨタ自動車株式会社 情報処理装置、データ復帰方法
US9400545B2 (en) 2011-12-22 2016-07-26 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including autonomous hardware-based deep power down in devices
US9773344B2 (en) 2012-01-11 2017-09-26 Nvidia Corporation Graphics processor clock scaling based on idle time
US9158359B2 (en) * 2012-03-23 2015-10-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Adaptive voltage scaling using a serial interface
US9529953B2 (en) * 2012-08-02 2016-12-27 The United States Of America, As Represented By The Secretary Of The Navy Subthreshold standard cell library
US9471395B2 (en) 2012-08-23 2016-10-18 Nvidia Corporation Processor cluster migration techniques
US8947137B2 (en) 2012-09-05 2015-02-03 Nvidia Corporation Core voltage reset systems and methods with wide noise margin
US20140136873A1 (en) * 2012-11-14 2014-05-15 Advanced Micro Devices, Inc. Tracking memory bank utility and cost for intelligent power up decisions
US9946319B2 (en) * 2012-11-20 2018-04-17 Advanced Micro Devices, Inc. Setting power-state limits based on performance coupling and thermal coupling between entities in a computing device
US9811874B2 (en) 2012-12-31 2017-11-07 Nvidia Corporation Frame times by dynamically adjusting frame buffer resolution
US9633872B2 (en) 2013-01-29 2017-04-25 Altera Corporation Integrated circuit package with active interposer
US8710906B1 (en) 2013-02-12 2014-04-29 Freescale Semiconductor, Inc. Fine grain voltage scaling of back biasing
US9411394B2 (en) * 2013-03-15 2016-08-09 Seagate Technology Llc PHY based wake up from low power mode operation
US9335809B2 (en) * 2013-03-15 2016-05-10 Seagate Technology Llc Volatile memory storing system data during low power mode operation and monitoring the voltage supplied to the memory during low power mode
US10409353B2 (en) * 2013-04-17 2019-09-10 Qualcomm Incorporated Dynamic clock voltage scaling (DCVS) based on application performance in a system-on-a-chip (SOC), and related methods and processor-based systems
US9094013B2 (en) 2013-05-24 2015-07-28 The Board Of Trustees Of The University Of Arkansas Single component sleep-convention logic (SCL) modules
US9059696B1 (en) 2013-08-01 2015-06-16 Altera Corporation Interposer with programmable power gating granularity
US9172373B2 (en) * 2013-09-06 2015-10-27 Globalfoundries U.S. 2 Llc Verifying partial good voltage island structures
US9671844B2 (en) * 2013-09-26 2017-06-06 Cavium, Inc. Method and apparatus for managing global chip power on a multicore system on chip
JP2015069333A (ja) * 2013-09-27 2015-04-13 富士通セミコンダクター株式会社 設計方法及び設計プログラム
US9594413B2 (en) 2013-12-24 2017-03-14 Intel Corporation Interface for communication between circuit blocks of an integrated circuit, and associated apparatuses, systems, and methods
US9058459B1 (en) * 2013-12-30 2015-06-16 Samsung Electronics Co., Ltd. Integrated circuit layouts and methods to reduce leakage
KR101538458B1 (ko) * 2014-01-03 2015-07-23 연세대학교 산학협력단 3차원 매니코어 프로세서를 위한 전압섬 형성 방법
US9329237B2 (en) 2014-01-10 2016-05-03 Freescale Semiconductor, Inc. Switch detection device and method of use
WO2015128907A1 (ja) * 2014-02-27 2015-09-03 パナソニック インテレクチュアル プロパティ コーポレーション オブ アメリカ 制御方法、情報提供方法、及びプログラム
US9257839B2 (en) 2014-02-28 2016-02-09 Freescale Semiconductor, Inc. Systems and methods for managing multiple power domains
US9766684B2 (en) 2014-07-21 2017-09-19 Apple Inc. Telemetry for power and thermal management
KR102320399B1 (ko) 2014-08-26 2021-11-03 삼성전자주식회사 전원 관리 칩, 그것을 포함하는 모바일 장치 및 그것의 클록 조절 방법
CN105446653B (zh) 2014-08-27 2018-12-14 阿里巴巴集团控股有限公司 一种数据合并方法和设备
US10416750B2 (en) 2014-09-26 2019-09-17 Qualcomm Incorporated Algorithm engine for ultra low-power processing of sensor data
US9811142B2 (en) 2014-09-29 2017-11-07 Apple Inc. Low energy processor for controlling operating states of a computer system
CN105573463A (zh) * 2014-10-17 2016-05-11 深圳市中兴微电子技术有限公司 一种功耗管理方法及装置
US10101786B2 (en) 2014-12-22 2018-10-16 Intel Corporation Holistic global performance and power management
US9829902B2 (en) * 2014-12-23 2017-11-28 Intel Corporation Systems and methods for dynamic temporal power steering
US9785211B2 (en) 2015-02-13 2017-10-10 Qualcomm Incorporated Independent power collapse methodology
US10402526B2 (en) 2015-06-05 2019-09-03 Chaoyang Semiconductor Jiangyin Technology Co., Ltd. Integrated system of PDN implementation and digital co-synthesis
US10152112B2 (en) 2015-06-10 2018-12-11 Sonics, Inc. Power manager with a power switch arbitrator
US9608605B2 (en) * 2015-08-06 2017-03-28 Futurewei Technologies, Inc. Apparatus and scheme for IO-pin-less calibration or trimming of on-chip regulators
US10078356B2 (en) * 2015-08-20 2018-09-18 Intel Corporation Apparatus and method for saving and restoring data for power saving in a processor
US9576615B1 (en) * 2015-10-15 2017-02-21 Smart Modular Technologies, Inc. Memory module with power management system and method of operation thereof
FR3043476B1 (fr) * 2015-11-05 2018-09-28 Dolphin Integration Systeme et procede de gestion d'alimentation
US10516304B2 (en) * 2015-12-22 2019-12-24 Intel Corporation Wireless charging coil placement for reduced field exposure
US10411492B2 (en) 2015-12-23 2019-09-10 Intel Corporation Wireless power transmitter shield with capacitors
US10133341B2 (en) * 2016-06-06 2018-11-20 Arm Limited Delegating component power control
KR20180039463A (ko) * 2016-10-10 2018-04-18 삼성전자주식회사 이상 동작을 제어하기 위한 전자 장치 및 방법
US11068018B2 (en) * 2016-10-25 2021-07-20 Dolphin Design System and method for power management of a computing system with a plurality of islands
US10148270B2 (en) 2017-03-15 2018-12-04 Quicklogic Corporation Switchable power islands having configurably on routing paths
US10359954B2 (en) 2017-05-31 2019-07-23 Alibaba Group Holding Limited Method and system for implementing byte-alterable write cache
US10229003B2 (en) 2017-06-16 2019-03-12 Alibaba Group Holding Limited Method and system for iterative data recovery and error correction in a distributed system
US10884926B2 (en) 2017-06-16 2021-01-05 Alibaba Group Holding Limited Method and system for distributed storage using client-side global persistent cache
US10303241B2 (en) * 2017-06-19 2019-05-28 Alibaba Group Holding Limited System and method for fine-grained power control management in a high capacity computer cluster
US10564856B2 (en) 2017-07-06 2020-02-18 Alibaba Group Holding Limited Method and system for mitigating write amplification in a phase change memory-based storage device
US10678443B2 (en) 2017-07-06 2020-06-09 Alibaba Group Holding Limited Method and system for high-density converged storage via memory bus
US10423508B2 (en) 2017-08-11 2019-09-24 Alibaba Group Holding Limited Method and system for a high-priority read based on an in-place suspend/resume write
US10303601B2 (en) 2017-08-11 2019-05-28 Alibaba Group Holding Limited Method and system for rearranging a write operation in a shingled magnetic recording device
US10496829B2 (en) 2017-09-15 2019-12-03 Alibaba Group Holding Limited Method and system for data destruction in a phase change memory-based storage device
US10642522B2 (en) 2017-09-15 2020-05-05 Alibaba Group Holding Limited Method and system for in-line deduplication in a storage drive based on a non-collision hash
US10503409B2 (en) 2017-09-27 2019-12-10 Alibaba Group Holding Limited Low-latency lightweight distributed storage system
US10789011B2 (en) 2017-09-27 2020-09-29 Alibaba Group Holding Limited Performance enhancement of a storage device using an integrated controller-buffer
US10642338B2 (en) 2017-09-28 2020-05-05 Intel Corporation Hierarchical power management unit for low power and low duty cycle devices
US10860334B2 (en) 2017-10-25 2020-12-08 Alibaba Group Holding Limited System and method for centralized boot storage in an access switch shared by multiple servers
US10445190B2 (en) 2017-11-08 2019-10-15 Alibaba Group Holding Limited Method and system for enhancing backup efficiency by bypassing encoding and decoding
US10580730B2 (en) 2017-11-16 2020-03-03 International Business Machines Corporation Managed integrated circuit power supply distribution
US10877898B2 (en) 2017-11-16 2020-12-29 Alibaba Group Holding Limited Method and system for enhancing flash translation layer mapping flexibility for performance and lifespan improvements
US10340916B1 (en) * 2017-12-29 2019-07-02 Advanced Micro Devices, Inc. Using islands to control operating parameters for functional blocks in an electronic device
US10496548B2 (en) 2018-02-07 2019-12-03 Alibaba Group Holding Limited Method and system for user-space storage I/O stack with user-space flash translation layer
US10891239B2 (en) 2018-02-07 2021-01-12 Alibaba Group Holding Limited Method and system for operating NAND flash physical space to extend memory capacity
US10831404B2 (en) 2018-02-08 2020-11-10 Alibaba Group Holding Limited Method and system for facilitating high-capacity shared memory using DIMM from retired servers
US10402112B1 (en) 2018-02-14 2019-09-03 Alibaba Group Holding Limited Method and system for chunk-wide data organization and placement with real-time calculation
US10629533B2 (en) 2018-03-13 2020-04-21 Toshiba Memory Corporation Power island segmentation for selective bond-out
WO2019222958A1 (en) 2018-05-24 2019-11-28 Alibaba Group Holding Limited System and method for flash storage management using multiple open page stripes
US11816043B2 (en) 2018-06-25 2023-11-14 Alibaba Group Holding Limited System and method for managing resources of a storage device and quantifying the cost of I/O requests
US10921992B2 (en) 2018-06-25 2021-02-16 Alibaba Group Holding Limited Method and system for data placement in a hard disk drive based on access frequency for improved IOPS and utilization efficiency
US10740257B2 (en) * 2018-07-02 2020-08-11 International Business Machines Corporation Managing accelerators in application-specific integrated circuits
US10871921B2 (en) 2018-07-30 2020-12-22 Alibaba Group Holding Limited Method and system for facilitating atomicity assurance on metadata and data bundled storage
US10747673B2 (en) 2018-08-02 2020-08-18 Alibaba Group Holding Limited System and method for facilitating cluster-level cache and memory space
US10996886B2 (en) 2018-08-02 2021-05-04 Alibaba Group Holding Limited Method and system for facilitating atomicity and latency assurance on variable sized I/O
US11327929B2 (en) 2018-09-17 2022-05-10 Alibaba Group Holding Limited Method and system for reduced data movement compression using in-storage computing and a customized file system
US10852948B2 (en) 2018-10-19 2020-12-01 Alibaba Group Holding System and method for data organization in shingled magnetic recording drive
US10795586B2 (en) 2018-11-19 2020-10-06 Alibaba Group Holding Limited System and method for optimization of global data placement to mitigate wear-out of write cache and NAND flash
US10769018B2 (en) 2018-12-04 2020-09-08 Alibaba Group Holding Limited System and method for handling uncorrectable data errors in high-capacity storage
US10884654B2 (en) 2018-12-31 2021-01-05 Alibaba Group Holding Limited System and method for quality of service assurance of multi-stream scenarios in a hard disk drive
US10977122B2 (en) 2018-12-31 2021-04-13 Alibaba Group Holding Limited System and method for facilitating differentiated error correction in high-density flash devices
US11061735B2 (en) 2019-01-02 2021-07-13 Alibaba Group Holding Limited System and method for offloading computation to storage nodes in distributed system
US11132291B2 (en) 2019-01-04 2021-09-28 Alibaba Group Holding Limited System and method of FPGA-executed flash translation layer in multiple solid state drives
US11200337B2 (en) 2019-02-11 2021-12-14 Alibaba Group Holding Limited System and method for user data isolation
US10922234B2 (en) 2019-04-11 2021-02-16 Alibaba Group Holding Limited Method and system for online recovery of logical-to-physical mapping table affected by noise sources in a solid state drive
US10908960B2 (en) 2019-04-16 2021-02-02 Alibaba Group Holding Limited Resource allocation based on comprehensive I/O monitoring in a distributed storage system
EP3726231A1 (en) * 2019-04-17 2020-10-21 Volkswagen Aktiengesellschaft Electronic component and system with integrated self-test functionality
US11169873B2 (en) 2019-05-21 2021-11-09 Alibaba Group Holding Limited Method and system for extending lifespan and enhancing throughput in a high-density solid state drive
US10860223B1 (en) 2019-07-18 2020-12-08 Alibaba Group Holding Limited Method and system for enhancing a distributed storage system by decoupling computation and network tasks
US11093019B2 (en) 2019-07-29 2021-08-17 Microsoft Technology Licensing, Llc Integrated circuit power domains segregated among power supply phases
US11126561B2 (en) 2019-10-01 2021-09-21 Alibaba Group Holding Limited Method and system for organizing NAND blocks and placing data to facilitate high-throughput for random writes in a solid state drive
CN111143275A (zh) * 2019-12-27 2020-05-12 南方电网科学研究院有限责任公司 一种ip管理和功耗优化系统及方法
US11042307B1 (en) 2020-01-13 2021-06-22 Alibaba Group Holding Limited System and method for facilitating improved utilization of NAND flash based on page-wise operation
US11449455B2 (en) 2020-01-15 2022-09-20 Alibaba Group Holding Limited Method and system for facilitating a high-capacity object storage system with configuration agility and mixed deployment flexibility
US10872622B1 (en) 2020-02-19 2020-12-22 Alibaba Group Holding Limited Method and system for deploying mixed storage products on a uniform storage infrastructure
US10923156B1 (en) 2020-02-19 2021-02-16 Alibaba Group Holding Limited Method and system for facilitating low-cost high-throughput storage for accessing large-size I/O blocks in a hard disk drive
US11150986B2 (en) 2020-02-26 2021-10-19 Alibaba Group Holding Limited Efficient compaction on log-structured distributed file system using erasure coding for resource consumption reduction
US11144250B2 (en) 2020-03-13 2021-10-12 Alibaba Group Holding Limited Method and system for facilitating a persistent memory-centric system
US11200114B2 (en) 2020-03-17 2021-12-14 Alibaba Group Holding Limited System and method for facilitating elastic error correction code in memory
US11385833B2 (en) 2020-04-20 2022-07-12 Alibaba Group Holding Limited Method and system for facilitating a light-weight garbage collection with a reduced utilization of resources
US11281575B2 (en) 2020-05-11 2022-03-22 Alibaba Group Holding Limited Method and system for facilitating data placement and control of physical addresses with multi-queue I/O blocks
US11494115B2 (en) 2020-05-13 2022-11-08 Alibaba Group Holding Limited System method for facilitating memory media as file storage device based on real-time hashing by performing integrity check with a cyclical redundancy check (CRC)
US11461262B2 (en) 2020-05-13 2022-10-04 Alibaba Group Holding Limited Method and system for facilitating a converged computation and storage node in a distributed storage system
US11218165B2 (en) 2020-05-15 2022-01-04 Alibaba Group Holding Limited Memory-mapped two-dimensional error correction code for multi-bit error tolerance in DRAM
US11507499B2 (en) 2020-05-19 2022-11-22 Alibaba Group Holding Limited System and method for facilitating mitigation of read/write amplification in data compression
US11556277B2 (en) 2020-05-19 2023-01-17 Alibaba Group Holding Limited System and method for facilitating improved performance in ordering key-value storage with input/output stack simplification
US11263132B2 (en) 2020-06-11 2022-03-01 Alibaba Group Holding Limited Method and system for facilitating log-structure data organization
US11422931B2 (en) 2020-06-17 2022-08-23 Alibaba Group Holding Limited Method and system for facilitating a physically isolated storage unit for multi-tenancy virtualization
US11354200B2 (en) 2020-06-17 2022-06-07 Alibaba Group Holding Limited Method and system for facilitating data recovery and version rollback in a storage device
US11354233B2 (en) 2020-07-27 2022-06-07 Alibaba Group Holding Limited Method and system for facilitating fast crash recovery in a storage device
US11372774B2 (en) 2020-08-24 2022-06-28 Alibaba Group Holding Limited Method and system for a solid state drive with on-chip memory integration
US11487465B2 (en) 2020-12-11 2022-11-01 Alibaba Group Holding Limited Method and system for a local storage engine collaborating with a solid state drive controller
US11734115B2 (en) 2020-12-28 2023-08-22 Alibaba Group Holding Limited Method and system for facilitating write latency reduction in a queue depth of one scenario
US11416365B2 (en) 2020-12-30 2022-08-16 Alibaba Group Holding Limited Method and system for open NAND block detection and correction in an open-channel SSD
US11726699B2 (en) 2021-03-30 2023-08-15 Alibaba Singapore Holding Private Limited Method and system for facilitating multi-stream sequential read performance improvement with reduced read amplification
US11461173B1 (en) 2021-04-21 2022-10-04 Alibaba Singapore Holding Private Limited Method and system for facilitating efficient data compression based on error correction code and reorganization of data placement
US11476874B1 (en) 2021-05-14 2022-10-18 Alibaba Singapore Holding Private Limited Method and system for facilitating a storage server with hybrid memory for journaling and data storage
WO2022267030A1 (zh) * 2021-06-25 2022-12-29 华为技术有限公司 一种交换芯片及供电方法
CN113555372B (zh) * 2021-06-30 2022-06-07 广芯微电子(广州)股份有限公司 一种隔断填充单元及多电压域低功耗芯片
US20230015697A1 (en) * 2021-07-13 2023-01-19 Citrix Systems, Inc. Application programming interface (api) authorization
US11573624B1 (en) * 2022-06-08 2023-02-07 Ambiq Micro, Inc. System for providing power to low power systems
KR102643032B1 (ko) * 2023-09-19 2024-03-04 주식회사 잇다반도체 전력 제어 시스템 및 이를 포함한 시스템 온 칩 장치

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63104443A (ja) * 1986-10-22 1988-05-09 Hitachi Ltd 大規模集積回路
US5440749A (en) 1989-08-03 1995-08-08 Nanotronics Corporation High performance, low cost microprocessor architecture
JP3082103B2 (ja) * 1991-08-08 2000-08-28 富士通株式会社 プロセッサ
EP0632360A1 (en) 1993-06-29 1995-01-04 Xerox Corporation Reducing computer power consumption by dynamic voltage and frequency variation
JPH07105174A (ja) 1993-10-07 1995-04-21 Hitachi Ltd 1チップマイクロコンピュータ
WO1996025796A1 (en) 1995-02-17 1996-08-22 Intel Corporation Power dissipation control system for vlsi chips
US5918061A (en) 1993-12-29 1999-06-29 Intel Corporation Enhanced power managing unit (PMU) in a multiprocessor chip
US5640573A (en) 1994-02-02 1997-06-17 Advanced Micro Devices, Inc. Power management message bus for integrated processor
JP3718251B2 (ja) * 1994-02-28 2005-11-24 株式会社ルネサステクノロジ データ処理装置
US5778237A (en) 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
WO1996025701A1 (en) 1995-02-14 1996-08-22 Vlsi Technology, Inc. Method and apparatus for reducing power consumption in digital electronic circuits
JPH08234861A (ja) * 1995-02-28 1996-09-13 Fujitsu Ltd 低消費電力プロセッサ
US5719800A (en) 1995-06-30 1998-02-17 Intel Corporation Performance throttling to reduce IC power consumption
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
CN1192298C (zh) * 1996-01-17 2005-03-09 德克萨斯仪器股份有限公司 按cpu活动调节cpu时钟频率而实时管理cpu温度和节电的方法和系统
US6076141A (en) 1996-01-24 2000-06-13 Sun Microsytems, Inc. Look-up switch accelerator and method of operating same
US5940785A (en) * 1996-04-29 1999-08-17 International Business Machines Corporation Performance-temperature optimization by cooperatively varying the voltage and frequency of a circuit
US5887179A (en) * 1996-06-11 1999-03-23 Motorola, Inc. System power saving means and method
US6785826B1 (en) 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
JPH10222253A (ja) * 1997-02-07 1998-08-21 Hitachi Ltd 情報処理システム
US6462976B1 (en) * 1997-02-21 2002-10-08 University Of Arkansas Conversion of electrical energy from one form to another, and its management through multichip module structures
US6115823A (en) * 1997-06-17 2000-09-05 Amphus, Inc. System and method for task performance based dynamic distributed power management in a computer system and design method therefor
US6411156B1 (en) 1997-06-20 2002-06-25 Intel Corporation Employing transistor body bias in controlling chip parameters
JP3524337B2 (ja) * 1997-07-25 2004-05-10 キヤノン株式会社 バス管理装置及びそれを有する複合機器の制御装置
JP3150082B2 (ja) * 1997-08-08 2001-03-26 日本電気株式会社 高速伝送対応コネクタ
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
KR100321976B1 (ko) 1997-12-29 2002-05-13 윤종용 인텔프로세서를위한오류허용전압조절모듈회로
JP3573957B2 (ja) 1998-05-20 2004-10-06 インターナショナル・ビジネス・マシーンズ・コーポレーション コンピュータ内のプロセッサの動作速度制御方法及びコンピュータ
US6789207B1 (en) 1998-07-02 2004-09-07 Renesas Technology Corp. Microprocessor
US6141762A (en) 1998-08-03 2000-10-31 Nicol; Christopher J. Power reduction in a multiprocessor digital signal processor based on processor load
EP0991191B1 (en) * 1998-09-09 2003-07-30 Texas Instruments Incorporated System and method for reducing power dissipation in a circuit
US6496729B2 (en) 1998-10-28 2002-12-17 Medtronic, Inc. Power consumption reduction in medical devices employing multiple supply voltages and clock frequency control
US6415388B1 (en) 1998-10-30 2002-07-02 Intel Corporation Method and apparatus for power throttling in a microprocessor using a closed loop feedback system
US6484265B2 (en) 1998-12-30 2002-11-19 Intel Corporation Software control of transistor body bias in controlling chip parameters
US6345362B1 (en) 1999-04-06 2002-02-05 International Business Machines Corporation Managing Vt for reduced power using a status table
US6477654B1 (en) 1999-04-06 2002-11-05 International Business Machines Corporation Managing VT for reduced power using power setting commands in the instruction stream
US6166985A (en) 1999-04-30 2000-12-26 Intel Corporation Integrated circuit low leakage power circuitry for use with an advanced CMOS process
WO2001001228A1 (fr) * 1999-06-29 2001-01-04 Hitachi, Ltd. Systeme lsi
JP2001238190A (ja) * 2000-02-25 2001-08-31 Canon Inc 画像処理装置及びその制御処理方法
JP2001306196A (ja) * 2000-04-26 2001-11-02 Matsushita Electric Ind Co Ltd 画像処理集積回路及び画像通信装置及び画像通信方法
JP3878431B2 (ja) * 2000-06-16 2007-02-07 株式会社ルネサステクノロジ 半導体集積回路装置
US6664775B1 (en) 2000-08-21 2003-12-16 Intel Corporation Apparatus having adjustable operational modes and method therefore
EP1182548A3 (en) 2000-08-21 2003-10-15 Texas Instruments France Dynamic hardware control for energy management systems using task attributes
EP1182552A3 (en) 2000-08-21 2003-10-01 Texas Instruments France Dynamic hardware configuration for energy management systems using task attributes
JP4181317B2 (ja) 2000-10-26 2008-11-12 松下電器産業株式会社 集積回路の電力管理システム
US6968467B2 (en) * 2000-10-26 2005-11-22 Matsushita Electric Industrial Co., Ltd. Decentralized power management system for integrated circuit using local power management units that generate control signals based on common data
US6792582B1 (en) * 2000-11-15 2004-09-14 International Business Machines Corporation Concurrent logical and physical construction of voltage islands for mixed supply voltage designs
JP2002182776A (ja) 2000-12-18 2002-06-26 Kenwood Corp 動作周波数制御システム及び動作周波数制御方法
US20020087904A1 (en) * 2000-12-28 2002-07-04 Zhong-Ning (George) Cai Method and apparatus for thermal sensitivity based dynamic power control
JP3884914B2 (ja) * 2001-01-30 2007-02-21 株式会社ルネサステクノロジ 半導体装置
US20020112193A1 (en) * 2001-02-09 2002-08-15 International Business Machines Corporation Power control of a processor using hardware structures controlled by a compiler with an accumulated instruction profile
JP3888070B2 (ja) 2001-02-23 2007-02-28 株式会社ルネサステクノロジ 消費電力制御インタフェースを有する論理回路モジュール及び該モジュールを記憶した記憶媒体
US6895520B1 (en) 2001-03-02 2005-05-17 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US6509788B2 (en) * 2001-03-16 2003-01-21 Hewlett-Packard Company System and method utilizing on-chip voltage controlled frequency modulation to manage power consumption
US6535735B2 (en) * 2001-03-22 2003-03-18 Skyworks Solutions, Inc. Critical path adaptive power control
US6836849B2 (en) 2001-04-05 2004-12-28 International Business Machines Corporation Method and apparatus for controlling power and performance in a multiprocessing system according to customer level operational requirements
US7058834B2 (en) 2001-04-26 2006-06-06 Paul Richard Woods Scan-based state save and restore method and system for inactive state power reduction
US7254721B1 (en) 2001-05-01 2007-08-07 Advanced Micro Devices, Inc. System and method for controlling an intergrated circuit to enter a predetermined performance state by skipping all intermediate states based on the determined utilization of the intergrated circuit
JP2002366351A (ja) 2001-06-06 2002-12-20 Nec Corp スーパースカラ・プロセッサ
WO2003021600A2 (en) * 2001-08-29 2003-03-13 Analog Devices, Inc. Methods and apparatus utilizing flash burst mode to improve processor performance
JP2003086693A (ja) * 2001-09-12 2003-03-20 Nec Corp 半導体集積回路
JP2003099148A (ja) * 2001-09-19 2003-04-04 Sanyo Electric Co Ltd データ処理装置とこれに利用可能なシステム制御装置およびデータ変換方法
JP4974202B2 (ja) * 2001-09-19 2012-07-11 ルネサスエレクトロニクス株式会社 半導体集積回路
US7111178B2 (en) * 2001-09-28 2006-09-19 Intel Corporation Method and apparatus for adjusting the voltage and frequency to minimize power dissipation in a multiprocessor system
JP4050027B2 (ja) 2001-09-28 2008-02-20 株式会社日立製作所 情報処理装置及び情報処理装置の制御方法
US6523150B1 (en) * 2001-09-28 2003-02-18 International Business Machines Corporation Method of designing a voltage partitioned wirebond package
US7111179B1 (en) 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US6631502B2 (en) 2002-01-16 2003-10-07 International Business Machines Corporation Method of analyzing integrated circuit power distribution in chips containing voltage islands
US6976182B1 (en) 2002-02-01 2005-12-13 Advanced Micro Devices, Inc. Apparatus and method for decreasing power consumption in an integrated circuit
US6667648B2 (en) * 2002-04-23 2003-12-23 International Business Machines Corporation Voltage island communications circuits
US6779169B1 (en) * 2002-05-31 2004-08-17 Altera Corporation Method and apparatus for placement of components onto programmable logic devices
US6908227B2 (en) 2002-08-23 2005-06-21 Intel Corporation Apparatus for thermal management of multiple core microprocessors
US6820240B2 (en) * 2002-09-25 2004-11-16 International Business Machines Corporation Voltage island chip implementation
US6779163B2 (en) * 2002-09-25 2004-08-17 International Business Machines Corporation Voltage island design planning
US6711447B1 (en) 2003-01-22 2004-03-23 Intel Corporation Modulating CPU frequency and voltage in a multi-core CPU architecture
US7085945B2 (en) 2003-01-24 2006-08-01 Intel Corporation Using multiple thermal points to enable component level power and thermal management
TWI471714B (zh) * 2003-05-07 2015-02-01 考文森智財管理公司 具有功率管理之系統及用於管理功率之方法
US7080341B2 (en) * 2003-09-09 2006-07-18 Robert Eisenstadt Apparatus and method for integrated circuit power management
JP4894014B2 (ja) * 2004-06-15 2012-03-07 エスティー‐エリクソン、ソシエテ、アノニム 集積回路のための電源の適応制御
US7279956B2 (en) * 2004-07-09 2007-10-09 Mosaid Technologies Incorporated Systems and methods for minimizing static leakage of an integrated circuit
US7382178B2 (en) * 2004-07-09 2008-06-03 Mosaid Technologies Corporation Systems and methods for minimizing static leakage of an integrated circuit
US7788536B1 (en) * 2004-12-21 2010-08-31 Zenprise, Inc. Automated detection of problems in software application deployments
US8015426B2 (en) * 2008-03-27 2011-09-06 International Business Machines Corporation System and method for providing voltage power gating
US8390249B2 (en) * 2009-11-30 2013-03-05 Broadcom Corporation Battery with integrated wireless power receiver and/or RFID

Also Published As

Publication number Publication date
CN1820270A (zh) 2006-08-16
US20080276105A1 (en) 2008-11-06
US20210036689A1 (en) 2021-02-04
TWI471714B (zh) 2015-02-01
TWI371674B (en) 2012-09-01
TW200945021A (en) 2009-11-01
TW201235813A (en) 2012-09-01
US7051306B2 (en) 2006-05-23
US20060123365A1 (en) 2006-06-08
KR100992177B1 (ko) 2010-11-04
JP2013117994A (ja) 2013-06-13
US10243542B2 (en) 2019-03-26
US10749506B2 (en) 2020-08-18
JP2009277252A (ja) 2009-11-26
EP1623349A2 (en) 2006-02-08
US7415680B2 (en) 2008-08-19
KR101189346B1 (ko) 2012-10-09
CN100416573C (zh) 2008-09-03
JP2007501478A (ja) 2007-01-25
WO2004102623A3 (en) 2005-07-14
KR101053010B1 (ko) 2011-07-29
KR20100053697A (ko) 2010-05-20
US8762923B2 (en) 2014-06-24
US20120043812A1 (en) 2012-02-23
EP1623349A4 (en) 2011-06-29
US20190173453A1 (en) 2019-06-06
KR20110011750A (ko) 2011-02-08
KR100915258B1 (ko) 2009-09-03
KR20060017773A (ko) 2006-02-27
US9660616B2 (en) 2017-05-23
US20160087608A1 (en) 2016-03-24
WO2004102623A2 (en) 2004-11-25
US9166412B2 (en) 2015-10-20
EP3321769A1 (en) 2018-05-16
US11362645B2 (en) 2022-06-14
JP2012123823A (ja) 2012-06-28
TWI397795B (zh) 2013-06-01
TW200510985A (en) 2005-03-16
US7996811B2 (en) 2011-08-09
US7945885B2 (en) 2011-05-17
EP1623349B1 (en) 2018-01-24
US20170288649A1 (en) 2017-10-05
US20040268278A1 (en) 2004-12-30
US20120256485A1 (en) 2012-10-11
US20140333134A1 (en) 2014-11-13
KR20090053964A (ko) 2009-05-28
US20090152948A1 (en) 2009-06-18
US8782590B2 (en) 2014-07-15

Similar Documents

Publication Publication Date Title
JP4992131B2 (ja) 電力の島を使用した集積回路での電力の管理
US9305128B2 (en) Netlist cell identification and classification to reduce power consumption
Gary et al. PowerPC 603, a microprocessor for portable computers
Kunie et al. Low power architecture and design techniques for mobile handset LSI Medity™ M2
Li et al. OS-aware tuning: Improving instruction cache energy efficiency on system workloads
CN115392161A (zh) 一种多核处理器功耗管理电路及方法
Eltawil et al. Young-Hwan Park, Amin Khajeh, Jun Yong Shin, Fadi Kurdahi
Vijaykrishnan et al. Designing Energy Aware Systems
Shortcourse et al. Reliable Low Power Design

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090918

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110802

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111013

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120327

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120413

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120417

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120413

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150518

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees