TWI397795B - 用於使用功率島管理積體電路上功率的系統及其方法 - Google Patents

用於使用功率島管理積體電路上功率的系統及其方法 Download PDF

Info

Publication number
TWI397795B
TWI397795B TW98107753A TW98107753A TWI397795B TW I397795 B TWI397795 B TW I397795B TW 98107753 A TW98107753 A TW 98107753A TW 98107753 A TW98107753 A TW 98107753A TW I397795 B TWI397795 B TW I397795B
Authority
TW
Taiwan
Prior art keywords
power
islands
manager
integrated circuit
power manager
Prior art date
Application number
TW98107753A
Other languages
English (en)
Other versions
TW200945021A (en
Inventor
Barry Alan Hoberman
Daniel L Hillman
Jon Shiell
Original Assignee
Mosaid Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=33452226&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI397795(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Mosaid Technologies Inc filed Critical Mosaid Technologies Inc
Publication of TW200945021A publication Critical patent/TW200945021A/zh
Application granted granted Critical
Publication of TWI397795B publication Critical patent/TWI397795B/zh

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/01Details
    • H03K3/012Modifications of generator to improve response time or to decrease power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F3/00Non-retroactive systems for regulating electric variables by using an uncontrolled element, or an uncontrolled combination of elements, such element or such combination having self-regulating properties
    • G05F3/02Regulating voltage or current
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J4/00Circuit arrangements for mains or distribution networks not specified as ac or dc
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Automation & Control Theory (AREA)
  • Power Sources (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Microcomputers (AREA)

Description

用於使用功率島管理積體電路上功率的系統及其方法 相關申請案交互參考
本申請案主張2003年5月7日所提出的美國臨時申請案序號第60/468,742號的權利,該案的標題為「System and Method for Managing Power in Integrated Circuits(用於在積體電路中管理功率之系統及方法)」,本文以引用的方式將其併入。
本發明一般係關於積體電路,更明確地說,係關於於積體電路上使用功率島來管理功率。
積體電路的其中一項設計目標便係降低功率消耗。具有電池的裝置(例如蜂巢式電話及膝上型裝置)特別需要降低積體電路中的功率消耗,以延長電池的電量。此外,降低功率消耗可防止過熱,並且降低該積體電路的熱消散,於部份情況中,便可移除或簡化該積體電路的散熱片及/或風扇。
部份積體電路係利用建立複數個資料庫單元區塊設計而成的。該些資料庫單元都係會執行某項功能的電路區塊。資料庫單元的部份範例係NAND閘、多工器、解碼器、比較器、以及記憶體。
於一「完全客戶」的流程中,該積體電路係被設計在最低層處,例如個別的電晶體、電容器以及電阻器處。該「完全客戶」流程可能會使用內部開發的資料庫單元。該積體電路可能會具有最佳的效能,因為係於最低層處非常詳細地設計該積體電路。不過,該「完全客戶」流程的部份問題係與此詳細設計相關的冗長時間與昂貴成本。再者,該「完全客戶」流程非常繁瑣,因為其設計係位於最低層處。
於一「標準單元」流程中,係利用從第三團體或其它外部來源處所獲得的資料庫單元來設計該積體電路。該些資料庫單元已於邏輯或功能層處進行標準化。因為該等資料庫單元已經事先設計且事先測試,所以,標準單元流程的設計時間會縮短。
於設計一積體電路的其中一個範例中,會選擇該等資料庫單元,並且指定該客戶邏輯來建立該積體電路。接著便撰寫該積體電路的暫存器傳輸層(RTL),以進行模擬及偵錯。完成模擬及偵錯之後,便會針對該積體電路來執行合成。執行效能測量軟體以決定該積體電路的效能。接著便可以該積體電路的最佳效能為基礎來執行該積體電路的最終合成。
大部分積體電路的其中一項問題係不會有效運用功率消耗。舉例來說,整個積體電路可能會運作於最大頻率處,僅為支援需要最大頻率的應用;然而該積體電路的其它部份卻能夠運作於較低頻率處。於另一範例中,該積體電路內無作用的電路會消耗功率,並且提高漏電的可能性。無效的功率消耗還可能會該積體電路的效能造成負面影響。
隨著積體電路的複雜度越來越高,當該積體電路運用更多功能時,降低功率消耗便更為重要。積體電路的其中一種範例係晶片上系統,其包括一微處理器、記憶體、複數個I/O介面、以及一類比至數位轉換器,全部都係在一單晶片上。於單一晶片中運用許多不同種類的功能,該晶片上系統所消耗的功率會高於單功能積體電路。
部份先前的積體電路已經採用複數個電壓島或多個時脈來降低功率消耗。該些積體電路的其中一項問題係該功率島中的電壓及該等多個時脈的頻率都係靜態的。該等電壓及頻率並不會以該積體電路的需求及運作為基礎而動態地改變。
本發明可於積體電路中使用功率島來管理功率以解決上面的問題。該積體電路包括複數個功率島,其中可於每個該等功率島內來單獨控制功率消耗。一功率管理器會決定該等功率島中其中一者的目標功率位準。接著,該功率管理器便會決定一項動作,用以將該等功率島中其中一者的消耗功率位準改變成該目標功率位準。該功率管理器會執行該項動作,用以將該等功率島中其中一者的消耗功率位準改變成該目標功率位準。功率控制電路會控制該等功率島中其中一者的功率。
可以該積體電路的地理因素或功能電路為基礎來劃分該等功率島。於部份具體實施例中,該項動作係選擇該等功率島中其中一者的時脈頻率或選擇該等功率島中其中一者的時脈。於部份具體實施例中,該項動作係修改該等功率島中其中一者的電壓。該項動作可能會開啟或關閉該等功率島中其中一者的電源。
於部份具體實施例中,該功率管理器會監視該等功率島中其中一者的功率消耗位準,依照該功率消耗位準來判斷是否跨越臨界位準,並且以跨越該臨界位準為基礎來實施該項動作。於部份具體實施例中,該功率管理器會保留並還原該等功率島中其中一者內的組件的狀態。
如該等示範圖式所示,下文將詳細地說明根據本發明的系統與方法的示範具體實施例,該等圖式中相同的元件符號代表相同或對應的元件。不過,應該瞭解的係,本發明可具現成各種形式。所以,此處所揭示之特定細節不可解釋為限制本發明,僅可作為申請專利範圍的基礎以及作為教導熟習此技術之人士將本發明實際應用於任何適當詳述之系統、結構、方法、程序或方式的代表性基礎。
圖1為本發明一實現範例中之積體電路110內用於管理功率的系統100的方塊圖。該系統100包括一積體電路110及一功率管理器120。該積體電路110係於矽及/或相關製造材料中用來舉例說明的任何電子裝置。該積體電路110的其中一種範例係晶片上系統。該積體電路110包括多個IP單元,該等單元為實施特定功能的電路區塊。
該積體電路110包括四個功率島112、114、116及118。為簡化起見,圖1僅繪出四個功率島112、114、116及118。該積體電路110的其它具體實施例則包括複數個功率島112、114、116及118。該等功率島112、114、116及118會被耦合至一匯流排125。
一功率島112、114、116及118係該積體電路110的任何區段、劃界、分割、分隔,於該區段、劃界、分割、分隔內的功率消耗係可控制的。於部份具體實施例中,可以該積體電路110的地理因素為基礎來劃分該等功率島112、114、116及118。於部份具體實施例中,可以該積體電路110的功能IP單元為基礎來劃分該等功率島112、114、116及118。於圖10所示的一範例中,係以記憶體、一微處理器、以及複數個分離的IP區塊來劃分功率島。於部份具體實施例中,該等功率島112、114、116及118彼此係非同步或同步。於部份具體實施例中,該等功率島112、114、116及118包括複數個子功率島,以便更細部地控制該積體電路110中的功率。於部份具體實施例中,每個該等功率島112、114、116及118皆會支援具有自有控制的多個時脈域。於部份具體實施例中,該等功率島112、114、116及118內的該等時脈係可變的。
於部份具體實施例中,每個該等功率島112、114、116及118皆包括功率控制電路。功率控制電路係被配置成用以控制每個該等功率島112、114、116及118內之功率的任何電路。功率控制電路的部份範例包括位準移動電路、信號隔離電路、Vdd多工電路、時脈多工電路、以及動態反饋偏壓電路。於部份具體實施例中,該功率控制電路係內含於該積體電路110之標準單元設計的標準單元資料庫之中。
該功率管理器120同樣係被耦合至該匯流排125。該匯流排125的其中一種範例係功率命令匯流排,下面圖10中會作進一步詳細說明。該系統100的其它具體實施例包括各種變化例,其中該功率管理器120和該等功率島112、114、116及118係互連在一起。該功率管理器120係被配置成用以完成下面工作的任何電路、裝置、或系統:(1)決定該等功率島112、114、116及118中其中一者的目標功率位準,其中可於每個該等功率島112、114、116及118內來單獨控制功率消耗,(2)決定用以將該等功率島112、114、116及118中其中一者的消耗功率位準改變為該目標功率位準的動作,以及(3)執行該項動作,用以將該等功率島112、114、116及118中其中一者的消耗功率位準改變成該目標功率位準。該功率管理器120可以該積體電路110的需求及運作為基礎動態地改變該等功率島112、114、116及118的功率消耗。該目標功率位準係該等功率島112、114、116及118的預期、經計算、或指定的功率消耗。該功率管理器120的部份範例係從屬功率管理器(SPM)、中間功率管理器(IPM)、以及主功率管理器(MPM),下面將作更進一步詳細說明。該功率管理器120可能係由複數個功率管理器120所組成的階層結構或是一群功率管理器120。雖然圖1中的功率管理器120係位於該積體電路110外面,不過其它具體實施例中的功率管理器120亦可位於該積體電路110之中。於其它具體實施例中,該功率管理器120可能係分散於多個功率管理器之中,該等多個功率管理器係位於該積體電路110之上或位於該積體電路110之外或是和一CPU整合在一起。
該項動作係可控制該等功率島112、114、116及118中的功率消耗的任何指令、訊息、程序、功能、信號或變數。部份動作範例係時脈閘處理及動態時脈選擇。另一動作範例則係修改該等功率島112、114、116及118中其中一者的時脈頻率。另一動作範例則係修改該等功率島112、114、116及118中其中一者的電壓,例如動態電壓源(Vdd)選擇。另一動作範例則係藉由控制可變的Vt電晶體來控制動態漏電情形。
圖2為本發明一實現範例中之積體電路250內用於管理功率的系統200的示意圖。該系統200包括一內建的韌體堆疊210及一積體電路250。該內建的韌體堆疊210包括於一中央處理單元(CPU)上執行的複數層軟體層。於部份具體實施例中,該內建的韌體堆疊210可能包括一應用層212、一作業系統(OS)子系統216、一功率管理控制層(PMCL)218、一即時作業系統(RTOS)220、複數個I/O驅動程式222、以及MPM、IPM及/或SPM的功率管理器(PM)韌體224。
該積體電路250包括一功率島260、一功率島270、以及一MPM 280。該功率島260包括一低功率標準單元邏輯區塊262及一SPM 264。該功率島270包括一低功率記憶體區塊272及一SPM 274。該低功率標準單元邏輯區塊262及該低功率記憶體區塊會被耦合至一介面265。該MPM 280會被耦合至PMCL 218、SPM 264以及SPM 274。
於部份具體實施例中,該應用層212包括一全域功率應用層(GPAL)214。此GPAL 214可使用於更複雜的應用中。如果有GPAL 214存在的話,那麼送至該等PMCL 218應用程式介面(API)的所有呼叫便都會先送至GPAL 214。GPAL 214及PMCL 218兩者可對該積體電路250進行功率管理。於部份具體實施例中,該GPAL 214及/或該PMCL 218會監視每個功率島260及270上的負載情形。此外,該GPAL 214及/或該PMCL 218可能會建立功率島260及270上的功率位準歷史,並且將該歷史資料儲存於一資料庫中。該GPAL 214及該PMCL 218可能還會提供該等功率島260及270之功率位準中感興趣的隨選資源的資料。
於部份具體實施例中,當有GPAL 214存在時,該GPAL 214便會指導該PMCL 218針對該積體電路250的功率管理作出局部決定。於部份具體實施例中,該GPAL 214及該PMCL 218包括複雜的演算法,以進行靜態排程。該GPAL 214及該PMCL 218會提供工具(其包括提供一資料庫及統計數據)以使用編碼來進行互動並且控制功率行為與參數。
該PMCL 218還會針對可能的IPM、SPM 264與274以及該等功率島260及270從該MPM 280中收集資訊,並且提供命令給該MPM 280。部份命令可能係開啟/關閉該等功率島260及270的電源、改變該等功率島260及270的功率位準、或改變該等功率島260及270的頻率。於具有一智慧型功率單元(SPU)290的部份具體實施例中,該GPAL 214及該PMCL 218會負責與該SPU 290進行通信,以便實現一功率策略並且收集和實際功率供應有關的資訊。於下面的圖式6-8中將更詳細地說明該SPU 290。於部份具體實施例中,該GPAL 214及該PMCL 218會提供現成功率管理技術的插槽。
該功率管理器韌體224係一由主功率管理器280、中間功率管理器、以及該等從屬功率管理器264與274來執行的韌體。
MPM 280係被配置成用以控制整個積體電路250之「全域」功率行為的任何電路。於部份具體實施例中,會有多個MPM 280來控制整個積體電路250的功率行為。於部份具體實施例中,MPM 280會與現成的IPM以及SPM 264與274進行通信,以便控制該等功率島260及270內的功率。該MPM 280可從該等SPM 264與274以及該等IPM中接收和該等功率島260及270相關的狀態資訊。該MPM 280可能還會決定該等IPM以及該等SPM 264與274之間的功率取捨情形。
該MPM 280可能還會一主介面介接至該PMCL 218韌體。於部份具體實施例中,該MPM 280會與該PMCL 218進行通信,以接受命令(例如記憶體映對命令)並且提供和該積體電路250內之功率相關的狀態資訊。於部份具體實施例中,該MPM 280會經由該積體電路250的主匯流排與該PMCL 218進行通信。該MPM 280可能還會登錄該等SPM 264與274以及IPM每一者,以及具有該PMCL 218的功能。下文將於圖式5、8、9及11中進一步詳述該MPM 280的部份作業。於部份具體實施例中,該MPM 280會配合局部邏輯分析器能力,針對保留與還原狀態來讀取及寫入複數條掃描鏈。
部份具體實施例可能包括一IPM(未顯示),其會代表該MPM 280來協調SPM 264與274。於部份具體實施例中,該IPM會控制及協調受該MPM 280控制之一部份區域上的功率行為。於部份具體實施例中,該IPM會控制位於和該MPM 280分離之晶片上的SPM 264與274。
該SPM 264係被配置成用以控制該積體電路250中之功率島264內之功率的任何電路。該SPM 264的其中一種範例係一IP區塊,其可控制該積體電路250中之功率島264內的功率。該SPM 264可能包括信號緩衝處理、位準移動處理、以及信號隔離。於部份具體實施例中,該SPM 264係被整合於複數條掃描鏈中,用以提供更簡易的實現與整合方式。此外,於部份具體實施例中,該SPM 264具有一非常小的「覆蓋區」,其具有低閘極數及低功率。於部份具體實施例中,該SPM 264包括一命令介面,用以交換狀態資訊、服務要求、以及命令。該等命令有些為載入、睡眠、閒置。該SPM 264可能還會辨認自己的位址,以允許進行多點匯流排處理。
於部份具體實施例中,該SPM 264具有登錄能力。針對開啟電源時的登錄來說,該SPM 264會使用回呼登錄,該項登錄通常係供晶片外SPM與IPM來使用。就靜態登錄而言,當針對晶片上SPM來產生該積體電路時,該SPM 264會登錄該SPM 264能夠作什麼、該IPM能夠作什麼、以及該SPM 264或IPM能夠服務的命令類型。該SPM 264可能還針對電源關閉期間具有保留及還原功能。該SPM 264可能具有(複數個)監視計時器。於部份具體實施例中,該SPM 264具有一對應該功率島260的偵測介面。該SPM 264可能還會監視該功率島260內各組件的局部狀態並且收集資訊。該SPM 264可能還會局部地控制Vdd、頻率選擇的時脈、以及動態反饋偏壓。關於SPM 264的說明同樣可套用於功率島270的SPM 274。
於部份具體實施例中,當收集自該功率島260的資訊跨越一臨界值或超過範圍時,該SPM 264便會檢查是否發生一事件。於部份具體實施例中,該SPM 264、IPM或該MPM 280會監視該功率島260的功率消耗位準,以檢查該等功率消耗位準是否跨越一臨界位準或超過範圍。功率消耗位準係任意的資訊、資料、或統計數據,其係表示功率島260中所消耗的功率。部份功率消耗位準範例係溫度與功率。該臨界值或範圍係可程式化的。該SPM 264可非同步地報告該項事件,或者有另一元件(例如該MPM 280)可徵詢是否發生一事件。該事件還可能係一多層測試,例如發生在大於既定、可程式化頻率以上的所有情況,或是發生在超過既定、可程式化持續時間的所有情況。
於部份具體實施例中,低功率標準單元邏輯區塊262係可內含於該功率島260中的標準單元資料庫的其中一種範例。於部份具體實施例中,該標準單元資料庫會針對低功率作最佳化。該標準單元資料庫可於一操作電壓範圍中進行特徵化。於部份具體實施例中,該標準單元資料庫包括同步電路及/或非同步電路。於部份具體實施例中,該標準單元資料庫包括靜態電路及/或囊封、動態邏輯電路。該標準單元資料庫可能還包括多重電壓域介面電路,例如位準移動電路及信號隔離電路。該標準單元資料庫可能還具有一多重臨界值設計及特徵,例如標準Vt電路、高Vt電路、低Vt電路、以及可變Vt電路。該標準單元資料庫可能還包括資料維持(遮蔽)電路及抗脈衝電路。該標準單元資料庫可能還包括低漏電「睡眠」電路。圖3為本發明一實現範例中之低功率標準單元邏輯區塊262的低漏電電路300的示意圖。
圖4為本發明一實現範例中之功率島270的示意圖。該功率島270包括一低功率記憶體區塊272及該SPM 274。該低功率記憶體區塊272包括記憶體及睡眠、電源關閉電路450的複數個群聚架構410、420、430及440。於部份具體實施例中,功率島270中的記憶體為RAM及/或ROM。該RAM的部份範例為SRAM編輯器,例如單埠、2埠、以及雙埠。該ROM的部份範例為ROM編輯器。該功率島270中的部份記憶體會針對低功率作最佳化,例如低功率記憶體區塊272。於其中一具體實施例中,該低功率記憶體區塊272包括會經過該編輯器的多個群聚架構,例如群聚架構410、420、430及440。該功率島270中的該等記憶體可能還包括供低功率模式(例如睡眠模式、暫歇模式、以及電源完全關閉模式)使用的睡眠、電源關閉電路450。該功率島270中的該等記憶體可能還包括複數個可程式化讀取/寫入埠。該功率島270中的該等記憶體可能係非同步及/或同步設計。
於部份具體實施例中,該系統200還包括一智慧型功率單元(SPU)290。於部份具體實施例中,該SPU 290並不在該積體電路250的晶片上。該SPU 290係一外部單元,其係被配置成用以控制送往該積體電路的功率及時脈分佈。下面的圖7與8中將進一步詳述該SPU的電路。
圖5為本發明一實現範例中從一應用要求至一SPM動作的流程圖。圖5係開始於步驟500。於步驟502中,會啟動一項應用,例如播放電影。於步驟504中,該項應用會決定一IP單元之效能的必要頻率。舉例來說,該項應用會為一MPEG解碼器的IP單元決定一指定頻率,以MHz為單位。於部份具體實施例中,當該IP單元係完全非同步時,該必要頻率將會是效能的人為測量值。於具有多個時脈的部份具體實施例中,會規定每個時脈的最小效能。
於步驟506中,該項應用會啟動該PMCL 218 API呼叫。此呼叫的其中一種範例係「Set_Rate(單位Y、N MHz、允許的動態功率管理(DPM)程度、DPM臨界值、允許複數個DPM取捨值的其它資訊、利用動態偏壓來啟動SPM的反饋偏壓、以及等待電源開啟旗標)」。於此範例中,該其它資訊可能係「以不關閉電源、使用高Vt、以及關閉時脈來取代」以及「主等待約10μs,400ns的臨界值」。於具有多個時脈的部份具體實施例中,該PMCL 218 API呼叫允許該項應用來指定所有的必要頻率。
該PMCL 218會於步驟508中決定該單元可用的複數個可能取捨值,並且選擇一頻率速率、Vdd及Vt(如果適用於該單元的話),以便可最符合該等既定的規定條件,並且會於步驟510中決定可應用的SPM 264及274。於部份具體實施例中,該MPM 280或IPM會實施步驟508及510。於多個時脈的部份具體實施例中,所指定的Vdd及Vt會允許所有指定的時脈符合或超過其必要頻率。
於步驟512中,接著該PMCL 218便會將該等SPM 264及274的預期設定值寫入該MPM 280(或IPM)。於步驟514中,該MPM 280(或IPM)會將該要求轉換成和該電路相關之該等SPM 264及274(或IPM)的一個以上命令。
於步驟516中,如果該項應用設定等待電源開啟旗標的話,那麼該PMCL 218便會在從該呼叫返回之前保持等待,直到該IP單元的電源完全開啟為止。否則,該呼叫便會於確認該命令之後立即傳回:狀態0,表示沒問題;狀態1,表示快速開啟電源;狀態2,表示慢速開啟電源;或是狀態3+,表示錯誤狀況。該電源已開啟狀態係當該單元處於被要求頻率之預期Vdd時,而非僅開啟該單元。
於步驟518中,該MPM 280(或IPM)會傳送該等要求給適當的目標。於步驟520中,該MPM 280會等待接收到確認信號,以便表示已接收到訊息且已被執行或已經開始執行。該SPM 264及274亦可能會傳回NACK或負值確認信號。
於步驟522中,該SPM 264會接收該等命令並且實施該等動作。步驟524-528係該SPM 264可實施的可能動作。於步驟524中,該SPM 264會切換該Vdd MUX。於步驟526中,該SPM 264會切換一時脈MUX。於部份具體實施例中,當該電壓下降時,便會在步驟524之前先實施步驟526。於步驟528中,該SPM 264會改變該等相關電晶體上的Vt。於該SPM 264實施該等動作之後,該SPM 264便會於步驟530中往上傳回一狀態訊息,用以表示確認信號或負值確認信號。圖5係結束於步驟532。
圖6為本發明一實現範例中一SPU 290的內部電路600的示意圖。於此具體實施例中,該SPU 290的內部電路600係位於圖2之積體電路250的內部。該內部電路600包括一外部電壓610、一低壓差穩壓器620、一低壓差穩壓器630、一邏輯區塊640、以及一邏輯區塊650。
圖7為本發明一實現範例中一SPU 290的外部電路700的示意圖。於此具體實施例中,該SPU 290的外部電路700係位於圖2之積體電路250的外部。該外部電路700包括一外部電壓710、一電源供應接針720、一電源供應接針730、一電源供應接針740、一邏輯區塊750、一邏輯區塊760、以及一邏輯區塊770。該SPU 290的外部電路700可提供DC/DC轉換。該DC/DC轉換可提供多個獨立的電源供應接針720、730以及740。該等電源供應接針720、730以及740於個別的電源接針上皆會供應一可變的電壓。此外,於部份具體實施例中,該可變電壓係在某個範圍內且呈現步進的形式。於部份具體實施例中,該PMCL 218會控制供應給電源供應接針720、730以及740的電壓。
圖8為本發明一實現範例中從一應用要求至一SPU動作的流程圖。圖8係開始於步驟800。於步驟802中,會啟動一項應用。於步驟804中,該項應用會決定一IP單元之效能的必要頻率。舉例來說,該項應用會為一MPEG解碼器的IP單元決定一指定頻率,以MHz為單位。
於步驟806中,該項應用會啟動該PMCL 218 API呼叫。此呼叫的其中一種範例係「Set_Rate(單位Y、N MHz、允許的動態功率管理(DPM)程度、DPM臨界值、允許複數個DPM取捨值的其它資訊、利用動態偏壓來啟動SPM的反饋偏壓、以及等待電源開啟旗標)」。於此範例中,該其它資訊可能係「以不關閉電源、使用高Vt、以及關閉時脈來取代」以及「主等待約10μs,400ns的臨界值」。
該PMCL 218會於步驟808中決定該單元可用的複數個可能取捨值,並且選擇一可支援所要求之頻率的最低可能Vdd,並且會於步驟810中決定出將會受到影響的SPM 264及274以及決定哪些電源接針要改變。
於步驟812中,該PMCL 218會發送一命令給該MPM280,用以讓該等SPM 264及274(以及IPM)為Vdd變化作準備。於步驟814中,該PMCL 218會等待來自該MPM 280的確認信號。於步驟816中,該PMCL 218會發送一命令給該SPU 290,用以改變該等已選定之電源接針上的Vdd,並且等待直到受到影響的區域「安定」為止。於步驟818中,接著該PMCL 218會發送一「於指定頻率處重新運作」命令給該MPM 280。於步驟820中,該MPM 280會將該重新運作命令傳送給所有受到影響的SPM 264及274(以及IPM)。於步驟822中,該等功率管理器(也就是,MPM 280、IPM或SPM 264及274)中其中一者會設定該指定頻率。於步驟824中,該IP單元會於時脈安定之後重新運作。圖8係結束於步驟826。於部份具體實施例中,該項使用者應用具有下面的選項:等待整個作業完成;或是繼續進行且向PMCL 218詢問作業的進度或是等待來自該PMCL 218的「已完成」中斷。
圖9為本發明一實現範例中於關閉電源前保留一IP單元的狀態及於開啟電源時還原該IP單元的狀態的流程圖。圖9係開始於步驟900。於步驟902中,該項使用者應用會要求該PMCL 218關閉一IP單元的電源,並且希望保留該IP單元的狀態。於部份具體實施例中,該IP單元的重新組構會花費很長的時間。步驟902中的要求可能會伴隨著應該保留該狀態之區域的位址。
於步驟904中,該PMCL 218會發送一「停止時脈且讀取IP單元狀態」訊息給該MPM 280。接著,於步驟906中,該MPM 280便會將該「停止時脈且讀取IP單元狀態」訊息傳送給受到影響之單元的SPM 264及274。於步驟908中,該MPM 280會使用該IP單元的掃描鏈將該狀態讀入一暫存器或緩衝器之中,以便呈現給該PMCL 218。於步驟910中,如果該PMCL 218提供該保留區域的位址給該MPM 280的話,該MPM 280便會將該狀態資訊直接保留於該指定區域中。於步驟912中,於保留所有IP單元的狀態之後,該PMCL 218便會發送一「關閉IP單元的電源」訊息給該MPM 280。於步驟914中,接著該MPM 280便會送出該「關閉IP單元的電源」訊息。於步驟916中,該PMCL 218會回傳該保留狀態區域給該項使用者應用。該保留狀態區域含有該單元的狀態。
稍後,於步驟918中,該項使用者應用便會要求該PMCL 218再開啟該IP單元的電源,並且還原該IP單元的狀態。於部份具體實施例中,該項使用者應用要求包括已保留該狀態之區域的位址。於步驟920中,該PMCL 218會發送一「開啟IP單元的電源,停止時脈且還原狀態」訊息給該MPM 280。於步驟922中,該MPM 280便會將該「開啟IP單元的電源,停止時脈且還原狀態」訊息傳送給受到影響之單元的SPM 264及274。於步驟924中,當該IP單元的電源開啟之後,該MPM 280便會使用該掃描鏈來重新載入該IP單元的狀態。於部份具體實施例中,重新載入該IP單元的狀態可直接從該保留區域開始,或是從該PMCL 218傳送給該MPM 280的資訊開始。於步驟926中,該PMCL 218會發送一訊息給該MPM 280,用以重新開啟時脈,並且向使用者應用報告該IP單元已經備妥可繼續運作。圖9係結束於步驟928。
於部份具體實施例中,可利用圖9相同的功能來實現一內部「邏輯分析器」功能,其中所討論的IP單元並不會於被讀取之後被關閉電源。如果該IP單元的相關SPM 264及274能夠對該時脈進行單步進或多步進處理的話,那麼藉由讓該等SPM 264及274對該IP單元進行「信號隔離」便可實施局部掃描測試。接著,結合對該時脈進行單步進或多步進處理的能力以及使用該掃描鏈的能力,便能讀取/寫入該IP單元的內部狀態。
圖10為本發明一實現範例中之一晶片上系統(SOC)1000及一延伸局部記憶體1004的示意圖。該SOC 1000係該積體電路250的一種範例,並且會與圖2中的內建韌體堆疊210進行通信。該SOC 1000包括一CPU 1010、一局部記憶體1020、一記憶體控制器1030、一混合信號電路1040、一特定應用電路1050、一PCI-X電路1060、一MPM 1070、一即時時脈(RTC)1075、一乙太網路電路1080、以及一USB電路1090。該CPU 1010、該局部記憶體1020、該記憶體控制器1030、該混合信號電路1040、該特定應用電路1050、該PCI-X電路1060、該乙太網路電路1080、以及該USB電路1090全部都係功率島,其中可利用複數個功率管理器來控制等功率島內的功率。於此具體實施例中,該等功率島係以該SOC 1000的功能來劃分。
該延伸局部記憶體1004包括一IPM 1006,其係被耦合至匯流排1071。該CPU 1010包括一SPM 1015,其係被耦合至匯流排1071。該局部記憶體1020包括一SPM 1025,其同樣係被耦合至匯流排1071。該記憶體控制器1030包括一SPM 1035,其係被耦合至匯流排1071。該混合信號電路1040包括一SPM 1045,其係被耦合至匯流排1071。該特定應用電路1050包括一IPM 1055及一SPM 1058,兩者皆係被耦合至匯流排1072。該PCI-X電路1060包括一SPM 1065,其係被耦合至匯流排1072。該MPM 1070會被耦合至匯流排1071及匯流排1072。該MPM 1070係上述的MPM 280的一種範例。該乙太網路電路1080包括一SPM 1085,其係被耦合至匯流排1072。該USB電路1090包括一SPM 1095,其係被耦合至匯流排1072。
於此具體實施例中,功率命令匯流排包括匯流排1071及匯流排1072。該等匯流排1071及匯流排1072都係簡單的多點序列式匯流排,其可跨越晶片邊界並且互連複數個功率管理器。該功率命令匯流排可能係複數個序列式匯流排的組合(例如匯流排1071及匯流排1072),該晶片的每個區域各一條匯流排,而且會於該區域內產生多個落點。於其它具體實施例中,該功率命令匯流排包括一並列式匯流排,或是複數條序列式與並列式匯流排的組合。於部份具體實施例中,該功率命令匯流排係系統匯流排。該功率命令匯流排可能含有複數個訊息,其具有含有相關酬載的至少一個單元ID。對一固定式點對點匯流排而言,該訊息並不需要一單元ID,僅需要該酬載。
於部份具體實施例中,該功率命令匯流排會使用一錯誤偵測技術,例如同位、ECC、或冗餘碼。於部份具體實施例中,該功率命令匯流排係一低效能匯流排,其不會干擾該積體電路設計,而且該使用者並不會看見。於部份具體實施例中,該PMCL 218及該MPM 1070之間的通信為記憶體映對,並且係以該主匯流排(例如一SOC 1000的AHB)為基礎。
部份具體實施例可能包括一分離的匯流排,用以報告該等功率管理器間的狀態資訊。於一範例中,此分離的匯流排會從SPM提供非同步的「Alert」類型狀態訊息給MPM。
該功率命令匯流排可能會有各種訊息格式。於一基本格式的範例中,該訊息格式包括開始訊息指示符號、功率管理器位址、類型碼、基本命令、以及結束訊息指示符號。於一延伸格式的範例中,該訊息格式包括該基本格式、一額外長度、以及額外的資訊。於一回應訊息格式的範例中,該訊息格式包括開始訊息答訊指示符號、功率管理器位址、一3b ACK或NAK或回傳狀態(暗喻為ACK)、回傳狀態訊息的酬載長度、回傳狀態訊息的酬載、NAK的理由碼以及結束訊息指示符號。
於部份具體實施例中,該SPM 1015或該IPM 1006於回答一命令時若逾時,該MPM 1070便會於可程式化的次數中重新發送該命令。如果該SPM 1015或該IPM 1006若是依舊失敗的話,該MPM 1070便會將該SPM 1015或該IPM 1006標記為無法使用,並且將此失敗情形向PMCL 218回報。於一具體實施例中,該PMCL 218會讓該MPM 1070透過該掃描系統來重新初始化該失敗的SPM 1015或該IPM 1006,然後再嘗試發送該訊息。該項重新初始化作業也會處理其它狀況,例如命令的無效回應或無效的狀態報告。於部份具體實施例中,可讀出該失敗的SPM 1015或該IPM 1006的狀態,並且加以保留以供往後分析。
圖11為本發明一實現範例中用於產生從一IPM 1055至該PMCL 218的「熱點」報告的流程圖。圖11係開始於步驟1100。於步驟1102中,該IPM 1055會監視該等SPM的溫度統計數據。於步驟1104中,該IPM 1055會檢查平均溫度是否超過預設及經程式化的臨界值。如果平均溫度未超過臨界值,該IPM 1055便會返回步驟1102,繼續保持監視。如果平均溫度超過臨界值,那麼該IPM 1055便會於步驟1106中產生一問題(「熱點」)報告訊息。於步驟1108中,該IPM 1055會等待源自該MPM 1070的下次狀態詢問。於部份具體實施例中,會提供一邏輯分離匯流排,供送往該MPM 280的非同步狀態報告使用。
於步驟1110中,該MPM 1070會接收該熱點報告訊息。於步驟1112中,視該MPM 1070的內部邏輯而定,該MPM 1070會等待進一步的確認(也就是,看見最後越過預設臨界值的「熱點」)或是立刻採取行動來修復該項問題。於部份具體實施例中,於步驟1114中,該MPM 1070所採取的行動係發出一中斷給需要進行修護的PMCL 218。於其它具體實施例中,如果該PMCL 218經常詢問該MPM 1070的話,便不需要該中斷。於步驟1116中,該MPM 1070(或 IPM)修復該項問題的方式係作出局部取捨、執行該項動作、或是安排欲執行的動作。修復該項問題的一種範例係降低該熱點區的操作頻率。於步驟1118中,該MPM 1070會向上報告該項問題以及該項問題的修復情形。
於步驟1120中,該PMCL 218會從該MPM 1070中讀取經修正的熱點報告。於步驟1122中,該PMCL 218會決定該採取何種動作來修復該項問題,或是讓該GPAL 214知道該項問題。於步驟1124中,該PMCL 218會發出適當的命令給該MPM 1070,用以修復該項問題。於此步驟中,軟體會作出必要的取捨,以便修復該項問題。於部份具體實施例中,如果該GPAL 214決定出高位準的修復的話,那麼該GPAL 214便會將其發送給該PMCL 218,以便轉換成MPM命令。於步驟1126中,該PMCL 218會於指定時間內監視該問題區,以檢查該項問題是否已經被修復。圖11係結束於步驟1128。
於部份具體實施例中,可於其它層級(例如PMCL 218)處來實施圖11中的功能種類。於一範例中,該PMCL 218會透過該MPM 1070來詢問所有具有該預期測量能力的SPM(以及IPM)關於其局部狀況,然後便能建立一份功率使用「對照圖」,以便依照該對照圖來行事。
圖12為本發明另一實現範例中之一晶片上系統(SOC)1200的示意圖。該SOC 1200係附屬於一感測器鏈路1212、一RF鏈路1214、一控制鏈路1216、一視訊鏈路1294、一語音鏈路1295、一介面鏈路1296、一控制鏈路 1297、以及一功率鏈路1298。該SOC 1200包括時脈分佈與管理部1210、一IP區塊功率島1220、一記憶體功
率島1230、一微處理器功率島1240、一IP區塊功率島1250、一分佈功率島1260、一IP區塊功率島1270、一IP區塊功率島1280、以及功率與分佈管理部1290。
IP區塊功率島1220包括一含有一類比至數位轉換器(ADC)的產品標準介面IP區塊1222,該轉換器包括一SPM 1224。IP區塊功率島1230包括一記憶體1232,其包括一SPM 1234。微處理器功率島1240包括一微處理器1242,其包括一SPM 1244。IP區塊功率島1250包括一含有一ADC的產品標準介面IP區塊1252,其包括一SPM 1254。分佈功率島1260包括一資料與信號分佈部1262,其包括一SPM 1264。IP區塊功率島1270包括一含有一數位信號處理器(DSP)的通用用途IP區塊1272,該處理器包括一SPM 1274。IP區塊功率島1280包括一產品專用IP區塊1282,其包括一SPM 1284。功率與分佈管理部1290包括一MPM 1292。
圖13為本發明一實現範例中用於建立具有複數個功率島之晶片的流程圖。圖13係開始於步驟1300。於步驟1302中,會選擇該等IP或資料庫單元,而且若有的話,還會指定欲使用的客戶邏輯來建立該晶片。於部份具體實施例中,會規定最大與子時脈速率、閒置時間%、以及最小與最大Vdd。於步驟1304中,會寫入該暫存器傳輸層(RTL)。於步驟1306中,會模擬且偵錯該RTL。
於步驟1308中,會於寫入該RTL期間或之後使用一軟體工具來加入該等MPM、IPM及/或SPM區塊的註解。於部份具體實施例中,該等預期功能選項都係規定於該等註解中。於部份具體實施例中,額外的功能係規定於每個SPM區塊中。於部份具體實施例中,會以每個模組為基礎利用SPM組態資訊來註解該RTL。於部份具體實施例中,可於寫入該RTL期間或之後,以每個模組為基礎手動插入正確的註解。於其它具體實施例中,係利用該等模組名稱及個別的註解來產生正確格式的分離表格。於部份具體實施例中,一分離軟體工具會提供能夠以互動方式來建立該分離表格的能力。於部份具體實施例中,該軟體工具會利用源自該表格的資訊對該RTL中未被註解的模組加入正確的註解。
於步驟1310中,會以功率島為基礎來進行合成,其中該等功率島並未混合。於步驟1312中,會針對每個Vdd及Vt選項來對每個模組執行效能測量軟體,然後將該MPM的註解加入該RTL之中。於部份具體實施例中,會測量該項設計於所有預期電壓及Vt組合處的效能。接著,該軟體工具便會推導出每個SPM的實際頻率、Vdd、以及Vt表格,並且回頭註解該等SPM特徵的MPM(或IPM)RTL。
於步驟1314中,會以功率島為基礎來進行最終合成。於部份具體實施例中,該等模組會分離安排或是由SPM來安排。於步驟1316中,會執行該軟體工具,以控管送往每個SPM的功率與時脈。步驟1318為交付處理剩餘的步驟。於部份具體實施例中,該軟體工具會利用所有的資訊來產生最終的電路清單(net-list)。圖13係結束於步驟1320。
上述的元件可能係由被儲存於儲存媒體上的複數個指令所組成的。該等指令可由一處理器來擷取並且執行。部份指令範例為軟體、程式碼以及韌體。部份儲存媒體範例為記憶體裝置、磁帶、碟片、積體電路、以及伺服器。當被該處理器執行時,該等指令便可運作以指示該處理器依照本發明來運作。熟習本技術的人士皆熟知指令、處理器、以及儲存媒體。
上面的說明僅供解釋用途,而非限制本發明。熟習本技術的人士於閱讀本揭示後便會明白本發明的許多變化例。因此,決定本發明的範疇並不能參考上面的說明,而應該參考隨附的專利申請範圍及其全部的等效例範疇。
100...系統
110...積體電路
112...功率島
114...功率島
116...功率島
118...功率島
120...功率管理器
125...匯流排
200...系統
210...韌體堆疊
212...應用層
214...全域功率應用層
216...作業系統子系統
218...功率管理控制層
220...即時作業系統
222...I/O驅動程式
224...功率管理器韌體
250...積體電路
260...功率島
262...低功率標準單元邏輯區塊
264...從屬功率管理器
265...介面
270...功率島
272...低功率記憶體區塊
274...從屬功率管理器
280...主功率管理器
290...智慧型功率單元
300...低漏電電路
410...群聚架構
420...群聚架構
430...群聚架構
440...群聚架構
450...睡眠、電源關閉電路
600...智慧型功率單元290的內部電路
610...外部電壓
620...穩壓器
630...穩壓器
640...邏輯區塊
650...邏輯區塊
700...智慧型功率單元290的外部電路
710...外部電壓
720...電源供應接針
730...電源供應接針
740...電源供應接針
750...邏輯區塊
760...邏輯區塊
770...邏輯區塊
1000...晶片上系統
1004...延伸局部記憶體
1006...中間功率管理器
1010...CPU
1015...從屬功率管理器
1020...局部記憶體
1025...從屬功率管理器
1030...記憶體控制器
1035...從屬功率管理器
1040‧‧‧混合信號電路
1045‧‧‧從屬功率管理器
1050‧‧‧特定應用電路
1055‧‧‧中間功率管理器
1058‧‧‧從屬功率管理器
1060‧‧‧PCI-X電路
1065‧‧‧從屬功率管理器
1070‧‧‧主功率管理器
1071‧‧‧匯流排
1072‧‧‧匯流排
1075‧‧‧即時時脈
1080‧‧‧乙太網路電路
1085‧‧‧從屬功率管理器
1090‧‧‧USB電路
1095‧‧‧從屬功率管理器
1200‧‧‧晶片上系統
1210‧‧‧時脈分佈與管理部
1212‧‧‧感測器鏈路
1214‧‧‧RF鏈路
1216‧‧‧控制鏈路
1220‧‧‧IP區塊功率島
1222‧‧‧含有一類比至數位轉換器的產品標準介面IP區塊
1224‧‧‧從屬功率管理器
1230...記憶體功率島
1232...記憶體
1234...從屬功率管理器
1240...微處理器功率島
1242...微處理器
1244...從屬功率管理器
1250...IP區塊功率島
1252...含有一類比至數位轉換器的產品標準介面IP區塊
1254...從屬功率管理器
1260...分佈功率島
1262...資料與信號分佈部
1264...從屬功率管理器
1270...IP區塊功率島
1272...含有一數位信號處理器的通用用途IP區塊
1274...從屬功率管理器
1280...IP區塊功率島
1282...產品專用IP區塊
1284...從屬功率管理器
1290...功率與分佈管理部
1292...主功率管理器
1294...視訊鏈路
1295...語音鏈路
1296...介面鏈路
1297...控制鏈路
1298...功率鏈路
圖1為本發明一實現範例中之積體電路內用於管理功率的系統的方塊圖;
圖2為本發明一實現範例中之積體電路內用於管理功率的系統的示意圖;
圖3為本發明一實現範例中之低功率標準單元邏輯區塊的低漏電電路示意圖;
圖4為本發明一實現範例中之功率島的示意圖;
圖5為本發明一實現範例中從一應用要求至一從屬功率管理器動作的流程圖;
圖6為本發明一實現範例中一智慧型功率單元的內部電路圖;
圖7為本發明一實現範例中一智慧型功率單元的外部電路圖;
圖8為本發明一實現範例中從一應用要求至一智慧型功率單元動作的流程圖;
圖9為本發明一實現範例中於關閉電源前保留一IP單元的狀態及於開啟電源時還原該IP單元的狀態的流程圖;
圖10為本發明一實現範例中之一晶片上系統及一延伸局部記憶體的示意圖;
圖11為本發明一實現範例中用於產生從一中間功率管理器至一功率管理控制層的「熱點」報告的流程圖;
圖12為本發明一實現範例中之晶片上系統的示意圖;以及
圖13為本發明一實現範例中用於建立具有複數個功率島之晶片的流程圖。
200...系統
210...韌體堆疊
212...應用層
214...全域功率應用層
216...作業系統子系統
218...功率管理控制層
220...即時作業系統
222...I/O驅動程式
224...功率管理器韌體
250...積體電路
260...功率島
262...低功率標準單元邏輯區塊
264...從屬功率管理器
265...介面
270...功率島
272...低功率記憶體區塊
274...從屬功率管理器
280...主功率管理器
290...智慧型功率單元

Claims (23)

  1. 一種用於包括複數個功率島之一積體電路之系統,其包括:一第一功率管理器,其基於該積體電路之需求及操作而經組態以管理該積體電路之一第一功率消耗;以及一第二功率管理器,其經組態以與該第一功率管理器通訊,管理該等功率島之一者之一第二功率消耗,且以向該第一功率管理器註冊該第二功率管理器之性能。
  2. 如請求項1之系統,其中該第一功率管理器係經組態以決定該等功率島間之功率權衡。
  3. 如請求項1之系統,其中該第二功率管理器係經組態以決定關於該等功率島中之一者的狀態資訊以及傳送該狀態資訊至該第一功率管理器。
  4. 如請求項3之系統,該第一功率管理器經組態以接收該狀態資訊以及使用該狀態資訊來管理該積體電路之該第一功率消耗。
  5. 如請求項1之系統,其中該第一功率管理器係經組態以決定關於該積體電路之狀態資訊以及傳送該狀態資訊至功率介面軟體。
  6. 如請求項1之系統,其中該第一功率管理器係經組態以從功率介面軟體接收一訊息以變換該積體電路之該第一功率消耗。
  7. 如請求項第1項之系統,其中該第一功率管理器係經組態以傳送一訊息至該第二功率管理器,且該第二功率管 理器係經組態以接收並處理該訊息以變換該等功率島之一者的該第二功率消耗。
  8. 如請求項1之系統,其中該第二功率管理器係經組態以從該等功率島之一者的元件收集資訊。
  9. 如請求項1之系統,其中該第二功率管理器係經組態以決定該第二功率消耗是否越過一閾值。
  10. 如請求項1之系統,其中該第二功率管理器係經組態以修改該等功率島之一者的一電壓。
  11. 如請求項1之系統,其中該第二功率管理器係經組態以修改該等功率島之一者的一頻率。
  12. 一種用於操作包括複數個功率島之一積體電路之方法,其包括:在一第一功率管理器中,基於該積體電路之需求及操作來管理該積體電路之一第一功率消耗;使該第一功率管理器與一第二功率管理器通訊;在該第二功率管理器中,管理該等功率島之一者的一第二功率消耗;以及向該第一功率管理器註冊該第二功率管理器之性能。
  13. 如請求項第12項之方法,其更包括在該第一功率管理器中決定該等功率島之間的功率權衡。
  14. 如請求項12之方法,其更包括:在該第二功率管理器中,決定關於該等功率島之一者的狀態資訊;以及從該第二功率管理器傳送該狀態資訊至該第一功率管 理器。
  15. 如請求項14之方法,其更包括:在該第一功率管理器中,接收該狀態資訊;以及使用該狀態資訊管理該積體電路該第一功率消耗。
  16. 如請求項12之方法,其更包括:在該第一功率管理器中,決定關於該積體電路的狀態資訊;以及從該第一功率管理器傳送該狀態資訊至功率介面軟體。
  17. 如請求項12之方法,其更包括在該第一功率管理器中,從功率介面軟體接收一訊息以變換該積體電路之該第一功率消耗。
  18. 如請求項12之方法,其更包括:從該第一功率管理器傳送一訊息至該第二功率管理器;以及在該第二功率管理器中,接收並處理該訊息以變換該等功率島之一者的該第二功率消耗。
  19. 如請求項12之方法,其更包括在該第二功率管理器中,從該等功率島之一者的元件收集資訊。
  20. 如請求項12之方法,其更包括在該第二功率管理器決定該第二功率消耗是否越過一閾值。
  21. 如請求項12之方法,其更包括在該第二功率管理器,修改該等功率島之一者的一電壓。
  22. 如請求項12之方法,其更包括在該第二功率管理器中,修改該等功率島之一者的一頻率。
  23. 一種用於包括複數個功率島之一積體電路之系統,其包括:一第一構件,其基於該積體電路之需求以及操作以管理該積體電路之一第一功率消耗;以及一第二構件,其用於與該第一構件通訊,管理該等功率島之一者的一第二功率消耗,以及向該第一構件註冊該第二構件之性能。
TW98107753A 2003-05-07 2004-05-07 用於使用功率島管理積體電路上功率的系統及其方法 TWI397795B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US46874203P 2003-05-07 2003-05-07

Publications (2)

Publication Number Publication Date
TW200945021A TW200945021A (en) 2009-11-01
TWI397795B true TWI397795B (zh) 2013-06-01

Family

ID=33452226

Family Applications (3)

Application Number Title Priority Date Filing Date
TW98107753A TWI397795B (zh) 2003-05-07 2004-05-07 用於使用功率島管理積體電路上功率的系統及其方法
TW093112998A TWI371674B (en) 2003-05-07 2004-05-07 Managing power on integrated circuits using power islands
TW101111570A TWI471714B (zh) 2003-05-07 2004-05-07 具有功率管理之系統及用於管理功率之方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW093112998A TWI371674B (en) 2003-05-07 2004-05-07 Managing power on integrated circuits using power islands
TW101111570A TWI471714B (zh) 2003-05-07 2004-05-07 具有功率管理之系統及用於管理功率之方法

Country Status (7)

Country Link
US (11) US7051306B2 (zh)
EP (2) EP3321769A1 (zh)
JP (4) JP2007501478A (zh)
KR (4) KR101189346B1 (zh)
CN (1) CN100416573C (zh)
TW (3) TWI397795B (zh)
WO (1) WO2004102623A2 (zh)

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6895520B1 (en) 2001-03-02 2005-05-17 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US7039819B1 (en) * 2003-04-30 2006-05-02 Advanced Micro Devices, Inc. Apparatus and method for initiating a sleep state in a system on a chip device
EP3321769A1 (en) * 2003-05-07 2018-05-16 Conversant Intellectual Property Management Inc. Managing power on integrated circuits using power islands
WO2004109531A2 (en) * 2003-06-10 2004-12-16 Koninklijke Philips Electronics N.V. Real-time adaptive control for best ic performance
US7170315B2 (en) * 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
WO2005024910A2 (en) 2003-09-09 2005-03-17 Robert Eisenstadt Apparatus and method for integrated circuit power management
US7227383B2 (en) * 2004-02-19 2007-06-05 Mosaid Delaware, Inc. Low leakage and data retention circuitry
US7138824B1 (en) * 2004-05-10 2006-11-21 Actel Corporation Integrated multi-function analog circuit including voltage, current, and temperature monitor and gate-driver circuit blocks
KR100750590B1 (ko) * 2004-06-15 2007-08-20 삼성전자주식회사 파워-업시 내부 전원 전압 제어 방법 및 장치, 이를가지는 반도체 메모리 장치
US9281718B2 (en) * 2004-06-28 2016-03-08 Broadcom Corporation On-board power supply monitor and power control system
US7409315B2 (en) 2004-06-28 2008-08-05 Broadcom Corporation On-board performance monitor and power control system
US7926008B2 (en) * 2004-06-28 2011-04-12 Broadcom Corporation Integrated circuit with on-board power utilization information
US7382178B2 (en) 2004-07-09 2008-06-03 Mosaid Technologies Corporation Systems and methods for minimizing static leakage of an integrated circuit
US7984398B1 (en) * 2004-07-19 2011-07-19 Synopsys, Inc. Automated multiple voltage/power state design process and chip description system
US7434073B2 (en) * 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US20060119382A1 (en) * 2004-12-07 2006-06-08 Shumarayev Sergey Y Apparatus and methods for adjusting performance characteristics of programmable logic devices
US7131099B2 (en) * 2004-12-09 2006-10-31 International Business Machines Corporation Method, apparatus, and computer program product for RTL power sequencing simulation of voltage islands
US7275164B2 (en) * 2005-01-31 2007-09-25 International Business Machines Corporation System and method for fencing any one of the plurality of voltage islands using a lookup table including AC and DC components for each functional block of the voltage islands
US7571407B2 (en) * 2005-04-08 2009-08-04 Kabushiki Kaisha Toshiba Semiconductor integrated circuit and method of testing delay thereof
US7454738B2 (en) * 2005-06-10 2008-11-18 Purdue Research Foundation Synthesis approach for active leakage power reduction using dynamic supply gating
US7482792B2 (en) 2005-06-14 2009-01-27 Intel Corporation IC with fully integrated DC-to-DC power converter
US7598630B2 (en) * 2005-07-29 2009-10-06 Intel Corporation IC with on-die power-gating circuit
US7574683B2 (en) * 2005-08-05 2009-08-11 John Wilson Automating power domains in electronic design automation
US7264985B2 (en) * 2005-08-31 2007-09-04 Freescale Semiconductor, Inc. Passive elements in MRAM embedded integrated circuits
JP2009507425A (ja) * 2005-09-02 2009-02-19 サイプレス セミコンダクター コーポレイション ジッタを低減させて信号を多重化する回路、システム、方法
US7554843B1 (en) * 2005-11-04 2009-06-30 Alta Analog, Inc. Serial bus incorporating high voltage programming signals
US7716612B1 (en) * 2005-12-29 2010-05-11 Tela Innovations, Inc. Method and system for integrated circuit optimization by using an optimized standard-cell library
US7421601B2 (en) * 2006-02-17 2008-09-02 International Business Machines Corporation Method and system for controlling power in a chip through a power-performance monitor and control unit
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7454642B2 (en) * 2006-03-31 2008-11-18 International Business Machines Corporation Method and architecture for power management of an electronic device
US7739629B2 (en) 2006-04-14 2010-06-15 Cadence Design Systems, Inc. Method and mechanism for implementing electronic designs having power information specifications background
WO2007144825A1 (en) * 2006-06-15 2007-12-21 Koninklijke Philips Electronics N.V. A method of balancing power consumption between loads.
US7949887B2 (en) * 2006-11-01 2011-05-24 Intel Corporation Independent power control of processing cores
US8397090B2 (en) * 2006-12-08 2013-03-12 Intel Corporation Operating integrated circuit logic blocks at independent voltages with single voltage supply
US7899434B2 (en) * 2006-12-15 2011-03-01 Broadcom Corporation Power management for a mobile communication device and method for use therewith
US20080162954A1 (en) * 2006-12-31 2008-07-03 Paul Lassa Selectively powered data interfaces
TW200900910A (en) * 2006-12-31 2009-01-01 Sandisk Corp Systems, methods, and integrated circuits with inrush-limited power islands
CN101627347B (zh) * 2006-12-31 2012-07-04 桑迪士克股份有限公司 在功率岛边界处具有保护的系统、电路、芯片及方法
US8304813B2 (en) * 2007-01-08 2012-11-06 SanDisk Technologies, Inc. Connection between an I/O region and the core region of an integrated circuit
TW200835151A (en) * 2007-02-15 2008-08-16 Univ Nat Chiao Tung Low-power dynamic sequential controlling multiplexer
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US7735030B1 (en) * 2007-02-28 2010-06-08 Cadence Design Systems, Inc. Simulating restorable registers in power domain systems
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8135944B2 (en) * 2007-03-14 2012-03-13 Sandisk Technologies Inc. Selectively powered data interfaces
US7739626B2 (en) * 2007-04-20 2010-06-15 Iwatt Inc. Method and apparatus for small die low power system-on-chip design with intelligent power supply chip
US7954078B1 (en) * 2007-06-29 2011-05-31 Cadence Design Systems, Inc. High level IC design with power specification and power source hierarchy
US8055925B2 (en) * 2007-07-18 2011-11-08 International Business Machines Corporation Structure and method to optimize computational efficiency in low-power environments
US8122273B2 (en) * 2007-07-18 2012-02-21 International Business Machines Corporation Structure and method to optimize computational efficiency in low-power environments
US20090037629A1 (en) * 2007-08-01 2009-02-05 Broadcom Corporation Master slave core architecture with direct buses
US7941679B2 (en) 2007-08-10 2011-05-10 Atrenta, Inc. Method for computing power savings and determining the preferred clock gating circuit of an integrated circuit design
US8205182B1 (en) * 2007-08-22 2012-06-19 Cadence Design Systems, Inc. Automatic synthesis of clock distribution networks
US7710800B2 (en) * 2007-12-12 2010-05-04 International Business Machines Corporation Managing redundant memory in a voltage island
US7715995B2 (en) * 2007-12-14 2010-05-11 International Business Machines Corporation Design structure for measurement of power consumption within an integrated circuit
US20090157334A1 (en) * 2007-12-14 2009-06-18 Kenneth Joseph Goodnow Measurement of power consumption within an integrated circuit
US8327173B2 (en) 2007-12-17 2012-12-04 Nvidia Corporation Integrated circuit device core power down independent of peripheral device operation
US8112641B2 (en) * 2007-12-26 2012-02-07 Cisco Technology, Inc. Facilitating communication and power transfer between electrically-isolated powered device subsystems
US7830039B2 (en) * 2007-12-28 2010-11-09 Sandisk Corporation Systems and circuits with multirange and localized detection of valid power
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US9411390B2 (en) 2008-02-11 2016-08-09 Nvidia Corporation Integrated circuit device having power domains and partitions based on use case power optimization
US20090204835A1 (en) * 2008-02-11 2009-08-13 Nvidia Corporation Use methods for power optimization using an integrated circuit having power domains and partitions
US7898285B2 (en) * 2008-03-26 2011-03-01 International Business Machines Corporation Optimal local supply voltage determination circuit
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8762759B2 (en) 2008-04-10 2014-06-24 Nvidia Corporation Responding to interrupts while in a reduced power state
US8607177B2 (en) 2008-04-10 2013-12-10 Nvidia Corporation Netlist cell identification and classification to reduce power consumption
US9423846B2 (en) 2008-04-10 2016-08-23 Nvidia Corporation Powered ring to maintain IO state independent of the core of an integrated circuit device
JP5599395B2 (ja) 2008-07-16 2014-10-01 テラ イノヴェイションズ インコーポレイテッド 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8001405B2 (en) * 2008-08-29 2011-08-16 International Business Machines Corporation Self-tuning power management techniques
US20100057404A1 (en) * 2008-08-29 2010-03-04 International Business Machines Corporation Optimal Performance and Power Management With Two Dependent Actuators
GB2464510B (en) * 2008-10-17 2013-09-04 Advanced Risc Mach Ltd Power control of an integrated circuit including an array of interconnected configurable logic elements
US9189049B2 (en) * 2008-12-24 2015-11-17 Stmicroelectronics International N.V. Power management in a device
US8161304B2 (en) * 2009-01-20 2012-04-17 Microsoft Corporation Power management for large memory subsystems
US8341582B2 (en) * 2009-01-30 2012-12-25 Active-Semi, Inc. Programmable analog tile configuration tool
US9003340B2 (en) * 2009-01-30 2015-04-07 Active-Semi, Inc. Communicating configuration information across a programmable analog tile to another tile
US8248152B2 (en) 2009-02-25 2012-08-21 International Business Machines Corporation Switched capacitor voltage converters
US8127167B2 (en) * 2009-03-30 2012-02-28 Mediatek Inc. Methods for reducing power consumption and devices using the same
US8174288B2 (en) 2009-04-13 2012-05-08 International Business Machines Corporation Voltage conversion and integrated circuits with stacked voltage domains
US9032407B2 (en) 2009-05-25 2015-05-12 Panasonic Intellectual Property Corporation Of America Multiprocessor system, multiprocessor control method, and multiprocessor integrated circuit
US8004922B2 (en) 2009-06-05 2011-08-23 Nxp B.V. Power island with independent power characteristics for memory and logic
US8533388B2 (en) 2009-06-15 2013-09-10 Broadcom Corporation Scalable multi-bank memory architecture
US8385148B2 (en) * 2009-06-15 2013-02-26 Broadcom Corporation Scalable, dynamic power management scheme for switching architectures utilizing multiple banks
US8370683B1 (en) 2009-07-31 2013-02-05 Western Digital Technologies, Inc. System and method to reduce write splice failures
US7977972B2 (en) 2009-08-07 2011-07-12 The Board Of Trustees Of The University Of Arkansas Ultra-low power multi-threshold asynchronous circuit design
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8276002B2 (en) * 2009-11-23 2012-09-25 International Business Machines Corporation Power delivery in a heterogeneous 3-D stacked apparatus
US8502590B2 (en) * 2009-12-14 2013-08-06 The Boeing Company System and method of controlling devices operating within different voltage ranges
US9058440B1 (en) * 2009-12-15 2015-06-16 Cadence Design Systems, Inc. Method and mechanism for verifying and simulating power aware mixed-signal electronic designs
US8356194B2 (en) 2010-01-28 2013-01-15 Cavium, Inc. Method and apparatus for estimating overshoot power after estimating power of executing events
JP5610566B2 (ja) * 2010-02-22 2014-10-22 ルネサスエレクトロニクス株式会社 半導体装置及びデータ処理システム
US20120017100A1 (en) * 2010-02-25 2012-01-19 Emmanuel Petit Power System Optimization and Verification for Embedded System Design
US8271812B2 (en) * 2010-04-07 2012-09-18 Apple Inc. Hardware automatic performance state transitions in system on processor sleep and wake events
KR20110124617A (ko) * 2010-05-11 2011-11-17 삼성전자주식회사 시스템-온-칩 및 그것의 디버깅 방법
US20110283130A1 (en) * 2010-05-17 2011-11-17 Global Unichip Corporation Power control manager
US8629705B2 (en) 2010-06-07 2014-01-14 International Business Machines Corporation Low voltage signaling
CN102314208B (zh) * 2010-06-30 2016-08-03 重庆重邮信科通信技术有限公司 一种动态调整嵌入式设备频率电压的方法及装置
TWI411930B (zh) * 2010-07-15 2013-10-11 Faraday Tech Corp 系統階層模擬/驗證系統及其方法
US8601288B2 (en) 2010-08-31 2013-12-03 Sonics, Inc. Intelligent power controller
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9709625B2 (en) * 2010-11-19 2017-07-18 International Business Machines Corporation Measuring power consumption in an integrated circuit
US8756442B2 (en) 2010-12-16 2014-06-17 Advanced Micro Devices, Inc. System for processor power limit management
JP5630870B2 (ja) * 2011-02-18 2014-11-26 ルネサスエレクトロニクス株式会社 半導体集積回路のレイアウト方法及びプログラム
US20120226949A1 (en) * 2011-03-02 2012-09-06 Texas Instruments Incorporated Multi-Channel Bus Protection
JP5647062B2 (ja) * 2011-04-28 2014-12-24 富士通フロンテック株式会社 最大消費電力軽減装置
WO2012109857A1 (zh) 2011-07-29 2012-08-23 华为技术有限公司 带宽调整方法、总线控制器及信号转换器
US8918102B2 (en) 2011-07-29 2014-12-23 At&T Intellectual Property I, L.P. Method and system for selecting from a set of candidate frequency bands associated with a wireless access point
US8868941B2 (en) * 2011-09-19 2014-10-21 Sonics, Inc. Apparatus and methods for an interconnect power manager
KR101861743B1 (ko) * 2011-09-19 2018-05-30 삼성전자주식회사 이종의 전력 제어와 동종의 전력 제어를 선택적으로 수행할 수 있는 시스템-온 칩과 이의 동작 방법
US9680773B1 (en) 2011-09-26 2017-06-13 Altera Corporation Integrated circuit with dynamically-adjustable buffer space for serial interface
JP5660010B2 (ja) * 2011-11-21 2015-01-28 トヨタ自動車株式会社 情報処理装置、データ復帰方法
US9400545B2 (en) 2011-12-22 2016-07-26 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including autonomous hardware-based deep power down in devices
US9773344B2 (en) 2012-01-11 2017-09-26 Nvidia Corporation Graphics processor clock scaling based on idle time
US9158359B2 (en) * 2012-03-23 2015-10-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Adaptive voltage scaling using a serial interface
US9529953B2 (en) * 2012-08-02 2016-12-27 The United States Of America, As Represented By The Secretary Of The Navy Subthreshold standard cell library
US9471395B2 (en) 2012-08-23 2016-10-18 Nvidia Corporation Processor cluster migration techniques
US8947137B2 (en) 2012-09-05 2015-02-03 Nvidia Corporation Core voltage reset systems and methods with wide noise margin
US20140136873A1 (en) * 2012-11-14 2014-05-15 Advanced Micro Devices, Inc. Tracking memory bank utility and cost for intelligent power up decisions
US9946319B2 (en) * 2012-11-20 2018-04-17 Advanced Micro Devices, Inc. Setting power-state limits based on performance coupling and thermal coupling between entities in a computing device
US9811874B2 (en) 2012-12-31 2017-11-07 Nvidia Corporation Frame times by dynamically adjusting frame buffer resolution
US9633872B2 (en) 2013-01-29 2017-04-25 Altera Corporation Integrated circuit package with active interposer
US8710906B1 (en) 2013-02-12 2014-04-29 Freescale Semiconductor, Inc. Fine grain voltage scaling of back biasing
US9335809B2 (en) * 2013-03-15 2016-05-10 Seagate Technology Llc Volatile memory storing system data during low power mode operation and monitoring the voltage supplied to the memory during low power mode
US9411394B2 (en) * 2013-03-15 2016-08-09 Seagate Technology Llc PHY based wake up from low power mode operation
US10409353B2 (en) * 2013-04-17 2019-09-10 Qualcomm Incorporated Dynamic clock voltage scaling (DCVS) based on application performance in a system-on-a-chip (SOC), and related methods and processor-based systems
US9094013B2 (en) 2013-05-24 2015-07-28 The Board Of Trustees Of The University Of Arkansas Single component sleep-convention logic (SCL) modules
US9059696B1 (en) 2013-08-01 2015-06-16 Altera Corporation Interposer with programmable power gating granularity
US9172373B2 (en) * 2013-09-06 2015-10-27 Globalfoundries U.S. 2 Llc Verifying partial good voltage island structures
US9671844B2 (en) * 2013-09-26 2017-06-06 Cavium, Inc. Method and apparatus for managing global chip power on a multicore system on chip
JP2015069333A (ja) * 2013-09-27 2015-04-13 富士通セミコンダクター株式会社 設計方法及び設計プログラム
US9594413B2 (en) * 2013-12-24 2017-03-14 Intel Corporation Interface for communication between circuit blocks of an integrated circuit, and associated apparatuses, systems, and methods
US9058459B1 (en) * 2013-12-30 2015-06-16 Samsung Electronics Co., Ltd. Integrated circuit layouts and methods to reduce leakage
KR101538458B1 (ko) 2014-01-03 2015-07-23 연세대학교 산학협력단 3차원 매니코어 프로세서를 위한 전압섬 형성 방법
US9329237B2 (en) 2014-01-10 2016-05-03 Freescale Semiconductor, Inc. Switch detection device and method of use
EP3113085A4 (en) * 2014-02-27 2017-01-18 Panasonic Intellectual Property Corporation of America Control method, information provision method and program
US9257839B2 (en) 2014-02-28 2016-02-09 Freescale Semiconductor, Inc. Systems and methods for managing multiple power domains
US9766684B2 (en) 2014-07-21 2017-09-19 Apple Inc. Telemetry for power and thermal management
KR102320399B1 (ko) 2014-08-26 2021-11-03 삼성전자주식회사 전원 관리 칩, 그것을 포함하는 모바일 장치 및 그것의 클록 조절 방법
CN105446653B (zh) 2014-08-27 2018-12-14 阿里巴巴集团控股有限公司 一种数据合并方法和设备
US10416750B2 (en) * 2014-09-26 2019-09-17 Qualcomm Incorporated Algorithm engine for ultra low-power processing of sensor data
US9811142B2 (en) 2014-09-29 2017-11-07 Apple Inc. Low energy processor for controlling operating states of a computer system
CN105573463A (zh) * 2014-10-17 2016-05-11 深圳市中兴微电子技术有限公司 一种功耗管理方法及装置
US10101786B2 (en) * 2014-12-22 2018-10-16 Intel Corporation Holistic global performance and power management
US9829902B2 (en) * 2014-12-23 2017-11-28 Intel Corporation Systems and methods for dynamic temporal power steering
US9785211B2 (en) 2015-02-13 2017-10-10 Qualcomm Incorporated Independent power collapse methodology
WO2016197149A1 (en) 2015-06-05 2016-12-08 Taner Dosluoglu Integrated system of pdn implementation and digital co-synthesis
US10152112B2 (en) 2015-06-10 2018-12-11 Sonics, Inc. Power manager with a power switch arbitrator
US9608605B2 (en) * 2015-08-06 2017-03-28 Futurewei Technologies, Inc. Apparatus and scheme for IO-pin-less calibration or trimming of on-chip regulators
US10078356B2 (en) * 2015-08-20 2018-09-18 Intel Corporation Apparatus and method for saving and restoring data for power saving in a processor
US9576615B1 (en) * 2015-10-15 2017-02-21 Smart Modular Technologies, Inc. Memory module with power management system and method of operation thereof
FR3043476B1 (fr) * 2015-11-05 2018-09-28 Dolphin Integration Systeme et procede de gestion d'alimentation
US10516304B2 (en) * 2015-12-22 2019-12-24 Intel Corporation Wireless charging coil placement for reduced field exposure
US10411492B2 (en) 2015-12-23 2019-09-10 Intel Corporation Wireless power transmitter shield with capacitors
US10133341B2 (en) * 2016-06-06 2018-11-20 Arm Limited Delegating component power control
KR20180039463A (ko) * 2016-10-10 2018-04-18 삼성전자주식회사 이상 동작을 제어하기 위한 전자 장치 및 방법
US11068018B2 (en) * 2016-10-25 2021-07-20 Dolphin Design System and method for power management of a computing system with a plurality of islands
US10148270B2 (en) 2017-03-15 2018-12-04 Quicklogic Corporation Switchable power islands having configurably on routing paths
US10359954B2 (en) 2017-05-31 2019-07-23 Alibaba Group Holding Limited Method and system for implementing byte-alterable write cache
US10884926B2 (en) 2017-06-16 2021-01-05 Alibaba Group Holding Limited Method and system for distributed storage using client-side global persistent cache
US10229003B2 (en) 2017-06-16 2019-03-12 Alibaba Group Holding Limited Method and system for iterative data recovery and error correction in a distributed system
US10303241B2 (en) 2017-06-19 2019-05-28 Alibaba Group Holding Limited System and method for fine-grained power control management in a high capacity computer cluster
US10678443B2 (en) 2017-07-06 2020-06-09 Alibaba Group Holding Limited Method and system for high-density converged storage via memory bus
US10564856B2 (en) 2017-07-06 2020-02-18 Alibaba Group Holding Limited Method and system for mitigating write amplification in a phase change memory-based storage device
US10423508B2 (en) 2017-08-11 2019-09-24 Alibaba Group Holding Limited Method and system for a high-priority read based on an in-place suspend/resume write
US10303601B2 (en) 2017-08-11 2019-05-28 Alibaba Group Holding Limited Method and system for rearranging a write operation in a shingled magnetic recording device
US10496829B2 (en) 2017-09-15 2019-12-03 Alibaba Group Holding Limited Method and system for data destruction in a phase change memory-based storage device
US10642522B2 (en) 2017-09-15 2020-05-05 Alibaba Group Holding Limited Method and system for in-line deduplication in a storage drive based on a non-collision hash
US10503409B2 (en) 2017-09-27 2019-12-10 Alibaba Group Holding Limited Low-latency lightweight distributed storage system
US10789011B2 (en) 2017-09-27 2020-09-29 Alibaba Group Holding Limited Performance enhancement of a storage device using an integrated controller-buffer
US10642338B2 (en) 2017-09-28 2020-05-05 Intel Corporation Hierarchical power management unit for low power and low duty cycle devices
US10860334B2 (en) 2017-10-25 2020-12-08 Alibaba Group Holding Limited System and method for centralized boot storage in an access switch shared by multiple servers
US10445190B2 (en) 2017-11-08 2019-10-15 Alibaba Group Holding Limited Method and system for enhancing backup efficiency by bypassing encoding and decoding
US10877898B2 (en) 2017-11-16 2020-12-29 Alibaba Group Holding Limited Method and system for enhancing flash translation layer mapping flexibility for performance and lifespan improvements
US10580730B2 (en) * 2017-11-16 2020-03-03 International Business Machines Corporation Managed integrated circuit power supply distribution
US10340916B1 (en) * 2017-12-29 2019-07-02 Advanced Micro Devices, Inc. Using islands to control operating parameters for functional blocks in an electronic device
US10496548B2 (en) 2018-02-07 2019-12-03 Alibaba Group Holding Limited Method and system for user-space storage I/O stack with user-space flash translation layer
US10891239B2 (en) 2018-02-07 2021-01-12 Alibaba Group Holding Limited Method and system for operating NAND flash physical space to extend memory capacity
US10831404B2 (en) 2018-02-08 2020-11-10 Alibaba Group Holding Limited Method and system for facilitating high-capacity shared memory using DIMM from retired servers
US10402112B1 (en) 2018-02-14 2019-09-03 Alibaba Group Holding Limited Method and system for chunk-wide data organization and placement with real-time calculation
US10629533B2 (en) 2018-03-13 2020-04-21 Toshiba Memory Corporation Power island segmentation for selective bond-out
US11379155B2 (en) 2018-05-24 2022-07-05 Alibaba Group Holding Limited System and method for flash storage management using multiple open page stripes
US10921992B2 (en) 2018-06-25 2021-02-16 Alibaba Group Holding Limited Method and system for data placement in a hard disk drive based on access frequency for improved IOPS and utilization efficiency
US11816043B2 (en) 2018-06-25 2023-11-14 Alibaba Group Holding Limited System and method for managing resources of a storage device and quantifying the cost of I/O requests
US10740257B2 (en) * 2018-07-02 2020-08-11 International Business Machines Corporation Managing accelerators in application-specific integrated circuits
US10871921B2 (en) 2018-07-30 2020-12-22 Alibaba Group Holding Limited Method and system for facilitating atomicity assurance on metadata and data bundled storage
US10996886B2 (en) 2018-08-02 2021-05-04 Alibaba Group Holding Limited Method and system for facilitating atomicity and latency assurance on variable sized I/O
US10747673B2 (en) 2018-08-02 2020-08-18 Alibaba Group Holding Limited System and method for facilitating cluster-level cache and memory space
US11327929B2 (en) 2018-09-17 2022-05-10 Alibaba Group Holding Limited Method and system for reduced data movement compression using in-storage computing and a customized file system
US10852948B2 (en) 2018-10-19 2020-12-01 Alibaba Group Holding System and method for data organization in shingled magnetic recording drive
US10795586B2 (en) 2018-11-19 2020-10-06 Alibaba Group Holding Limited System and method for optimization of global data placement to mitigate wear-out of write cache and NAND flash
US10769018B2 (en) 2018-12-04 2020-09-08 Alibaba Group Holding Limited System and method for handling uncorrectable data errors in high-capacity storage
US10884654B2 (en) 2018-12-31 2021-01-05 Alibaba Group Holding Limited System and method for quality of service assurance of multi-stream scenarios in a hard disk drive
US10977122B2 (en) 2018-12-31 2021-04-13 Alibaba Group Holding Limited System and method for facilitating differentiated error correction in high-density flash devices
US11061735B2 (en) 2019-01-02 2021-07-13 Alibaba Group Holding Limited System and method for offloading computation to storage nodes in distributed system
US11132291B2 (en) 2019-01-04 2021-09-28 Alibaba Group Holding Limited System and method of FPGA-executed flash translation layer in multiple solid state drives
US11200337B2 (en) 2019-02-11 2021-12-14 Alibaba Group Holding Limited System and method for user data isolation
US10922234B2 (en) 2019-04-11 2021-02-16 Alibaba Group Holding Limited Method and system for online recovery of logical-to-physical mapping table affected by noise sources in a solid state drive
US10908960B2 (en) 2019-04-16 2021-02-02 Alibaba Group Holding Limited Resource allocation based on comprehensive I/O monitoring in a distributed storage system
EP3726231A1 (en) * 2019-04-17 2020-10-21 Volkswagen Aktiengesellschaft Electronic component and system with integrated self-test functionality
US11169873B2 (en) 2019-05-21 2021-11-09 Alibaba Group Holding Limited Method and system for extending lifespan and enhancing throughput in a high-density solid state drive
US10860223B1 (en) 2019-07-18 2020-12-08 Alibaba Group Holding Limited Method and system for enhancing a distributed storage system by decoupling computation and network tasks
US11093019B2 (en) 2019-07-29 2021-08-17 Microsoft Technology Licensing, Llc Integrated circuit power domains segregated among power supply phases
US11126561B2 (en) 2019-10-01 2021-09-21 Alibaba Group Holding Limited Method and system for organizing NAND blocks and placing data to facilitate high-throughput for random writes in a solid state drive
CN111143275A (zh) * 2019-12-27 2020-05-12 南方电网科学研究院有限责任公司 一种ip管理和功耗优化系统及方法
US11042307B1 (en) 2020-01-13 2021-06-22 Alibaba Group Holding Limited System and method for facilitating improved utilization of NAND flash based on page-wise operation
US11449455B2 (en) 2020-01-15 2022-09-20 Alibaba Group Holding Limited Method and system for facilitating a high-capacity object storage system with configuration agility and mixed deployment flexibility
US10872622B1 (en) 2020-02-19 2020-12-22 Alibaba Group Holding Limited Method and system for deploying mixed storage products on a uniform storage infrastructure
US10923156B1 (en) 2020-02-19 2021-02-16 Alibaba Group Holding Limited Method and system for facilitating low-cost high-throughput storage for accessing large-size I/O blocks in a hard disk drive
US11150986B2 (en) 2020-02-26 2021-10-19 Alibaba Group Holding Limited Efficient compaction on log-structured distributed file system using erasure coding for resource consumption reduction
US11144250B2 (en) 2020-03-13 2021-10-12 Alibaba Group Holding Limited Method and system for facilitating a persistent memory-centric system
US11200114B2 (en) 2020-03-17 2021-12-14 Alibaba Group Holding Limited System and method for facilitating elastic error correction code in memory
US11385833B2 (en) 2020-04-20 2022-07-12 Alibaba Group Holding Limited Method and system for facilitating a light-weight garbage collection with a reduced utilization of resources
US11281575B2 (en) 2020-05-11 2022-03-22 Alibaba Group Holding Limited Method and system for facilitating data placement and control of physical addresses with multi-queue I/O blocks
US11461262B2 (en) 2020-05-13 2022-10-04 Alibaba Group Holding Limited Method and system for facilitating a converged computation and storage node in a distributed storage system
US11494115B2 (en) 2020-05-13 2022-11-08 Alibaba Group Holding Limited System method for facilitating memory media as file storage device based on real-time hashing by performing integrity check with a cyclical redundancy check (CRC)
US11218165B2 (en) 2020-05-15 2022-01-04 Alibaba Group Holding Limited Memory-mapped two-dimensional error correction code for multi-bit error tolerance in DRAM
US11507499B2 (en) 2020-05-19 2022-11-22 Alibaba Group Holding Limited System and method for facilitating mitigation of read/write amplification in data compression
US11556277B2 (en) 2020-05-19 2023-01-17 Alibaba Group Holding Limited System and method for facilitating improved performance in ordering key-value storage with input/output stack simplification
US11263132B2 (en) 2020-06-11 2022-03-01 Alibaba Group Holding Limited Method and system for facilitating log-structure data organization
US11422931B2 (en) 2020-06-17 2022-08-23 Alibaba Group Holding Limited Method and system for facilitating a physically isolated storage unit for multi-tenancy virtualization
US11354200B2 (en) 2020-06-17 2022-06-07 Alibaba Group Holding Limited Method and system for facilitating data recovery and version rollback in a storage device
US11354233B2 (en) 2020-07-27 2022-06-07 Alibaba Group Holding Limited Method and system for facilitating fast crash recovery in a storage device
US11372774B2 (en) 2020-08-24 2022-06-28 Alibaba Group Holding Limited Method and system for a solid state drive with on-chip memory integration
US11487465B2 (en) 2020-12-11 2022-11-01 Alibaba Group Holding Limited Method and system for a local storage engine collaborating with a solid state drive controller
US11734115B2 (en) 2020-12-28 2023-08-22 Alibaba Group Holding Limited Method and system for facilitating write latency reduction in a queue depth of one scenario
US11416365B2 (en) 2020-12-30 2022-08-16 Alibaba Group Holding Limited Method and system for open NAND block detection and correction in an open-channel SSD
US11726699B2 (en) 2021-03-30 2023-08-15 Alibaba Singapore Holding Private Limited Method and system for facilitating multi-stream sequential read performance improvement with reduced read amplification
US11461173B1 (en) 2021-04-21 2022-10-04 Alibaba Singapore Holding Private Limited Method and system for facilitating efficient data compression based on error correction code and reorganization of data placement
US11476874B1 (en) 2021-05-14 2022-10-18 Alibaba Singapore Holding Private Limited Method and system for facilitating a storage server with hybrid memory for journaling and data storage
CN117242326A (zh) * 2021-06-25 2023-12-15 华为技术有限公司 一种交换芯片及供电方法
CN113555372B (zh) * 2021-06-30 2022-06-07 广芯微电子(广州)股份有限公司 一种隔断填充单元及多电压域低功耗芯片
US20230015697A1 (en) * 2021-07-13 2023-01-19 Citrix Systems, Inc. Application programming interface (api) authorization
US11573624B1 (en) * 2022-06-08 2023-02-07 Ambiq Micro, Inc. System for providing power to low power systems
KR102643032B1 (ko) * 2023-09-19 2024-03-04 주식회사 잇다반도체 전력 제어 시스템 및 이를 포함한 시스템 온 칩 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1353454A (zh) * 2000-11-15 2002-06-12 国际商业机器公司 半导体芯片设计方法
US6462976B1 (en) * 1997-02-21 2002-10-08 University Of Arkansas Conversion of electrical energy from one form to another, and its management through multichip module structures
US6523150B1 (en) * 2001-09-28 2003-02-18 International Business Machines Corporation Method of designing a voltage partitioned wirebond package

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63104443A (ja) * 1986-10-22 1988-05-09 Hitachi Ltd 大規模集積回路
US5440749A (en) * 1989-08-03 1995-08-08 Nanotronics Corporation High performance, low cost microprocessor architecture
JP3082103B2 (ja) * 1991-08-08 2000-08-28 富士通株式会社 プロセッサ
EP0632360A1 (en) 1993-06-29 1995-01-04 Xerox Corporation Reducing computer power consumption by dynamic voltage and frequency variation
JPH07105174A (ja) 1993-10-07 1995-04-21 Hitachi Ltd 1チップマイクロコンピュータ
WO1996025796A1 (en) 1995-02-17 1996-08-22 Intel Corporation Power dissipation control system for vlsi chips
US5918061A (en) 1993-12-29 1999-06-29 Intel Corporation Enhanced power managing unit (PMU) in a multiprocessor chip
US5640573A (en) 1994-02-02 1997-06-17 Advanced Micro Devices, Inc. Power management message bus for integrated processor
JP3718251B2 (ja) * 1994-02-28 2005-11-24 株式会社ルネサステクノロジ データ処理装置
US5778237A (en) 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
EP0809825A1 (en) 1995-02-14 1997-12-03 Vlsi Technology, Inc. Method and apparatus for reducing power consumption in digital electronic circuits
JPH08234861A (ja) * 1995-02-28 1996-09-13 Fujitsu Ltd 低消費電力プロセッサ
US5719800A (en) 1995-06-30 1998-02-17 Intel Corporation Performance throttling to reduce IC power consumption
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
CN1192298C (zh) 1996-01-17 2005-03-09 德克萨斯仪器股份有限公司 按cpu活动调节cpu时钟频率而实时管理cpu温度和节电的方法和系统
US6076141A (en) 1996-01-24 2000-06-13 Sun Microsytems, Inc. Look-up switch accelerator and method of operating same
US5940785A (en) * 1996-04-29 1999-08-17 International Business Machines Corporation Performance-temperature optimization by cooperatively varying the voltage and frequency of a circuit
US5887179A (en) * 1996-06-11 1999-03-23 Motorola, Inc. System power saving means and method
US6785826B1 (en) 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
JPH10222253A (ja) * 1997-02-07 1998-08-21 Hitachi Ltd 情報処理システム
US6115823A (en) * 1997-06-17 2000-09-05 Amphus, Inc. System and method for task performance based dynamic distributed power management in a computer system and design method therefor
US6411156B1 (en) 1997-06-20 2002-06-25 Intel Corporation Employing transistor body bias in controlling chip parameters
JP3524337B2 (ja) 1997-07-25 2004-05-10 キヤノン株式会社 バス管理装置及びそれを有する複合機器の制御装置
JP3150082B2 (ja) * 1997-08-08 2001-03-26 日本電気株式会社 高速伝送対応コネクタ
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
KR100321976B1 (ko) 1997-12-29 2002-05-13 윤종용 인텔프로세서를위한오류허용전압조절모듈회로
JP3573957B2 (ja) 1998-05-20 2004-10-06 インターナショナル・ビジネス・マシーンズ・コーポレーション コンピュータ内のプロセッサの動作速度制御方法及びコンピュータ
US6789207B1 (en) 1998-07-02 2004-09-07 Renesas Technology Corp. Microprocessor
US6141762A (en) 1998-08-03 2000-10-31 Nicol; Christopher J. Power reduction in a multiprocessor digital signal processor based on processor load
ATE246414T1 (de) * 1998-09-09 2003-08-15 Texas Instruments Inc Verfahren und vorrichtung zur reduzierung der verlustleistung in einer schaltung
US6496729B2 (en) 1998-10-28 2002-12-17 Medtronic, Inc. Power consumption reduction in medical devices employing multiple supply voltages and clock frequency control
US6415388B1 (en) 1998-10-30 2002-07-02 Intel Corporation Method and apparatus for power throttling in a microprocessor using a closed loop feedback system
US6484265B2 (en) 1998-12-30 2002-11-19 Intel Corporation Software control of transistor body bias in controlling chip parameters
US6477654B1 (en) 1999-04-06 2002-11-05 International Business Machines Corporation Managing VT for reduced power using power setting commands in the instruction stream
US6345362B1 (en) 1999-04-06 2002-02-05 International Business Machines Corporation Managing Vt for reduced power using a status table
US6166985A (en) 1999-04-30 2000-12-26 Intel Corporation Integrated circuit low leakage power circuitry for use with an advanced CMOS process
WO2001001228A1 (fr) * 1999-06-29 2001-01-04 Hitachi, Ltd. Systeme lsi
JP2001238190A (ja) * 2000-02-25 2001-08-31 Canon Inc 画像処理装置及びその制御処理方法
JP2001306196A (ja) * 2000-04-26 2001-11-02 Matsushita Electric Ind Co Ltd 画像処理集積回路及び画像通信装置及び画像通信方法
JP3878431B2 (ja) 2000-06-16 2007-02-07 株式会社ルネサステクノロジ 半導体集積回路装置
EP1182552A3 (en) 2000-08-21 2003-10-01 Texas Instruments France Dynamic hardware configuration for energy management systems using task attributes
EP1182548A3 (en) 2000-08-21 2003-10-15 Texas Instruments France Dynamic hardware control for energy management systems using task attributes
US6664775B1 (en) 2000-08-21 2003-12-16 Intel Corporation Apparatus having adjustable operational modes and method therefore
US6968467B2 (en) 2000-10-26 2005-11-22 Matsushita Electric Industrial Co., Ltd. Decentralized power management system for integrated circuit using local power management units that generate control signals based on common data
JP4181317B2 (ja) 2000-10-26 2008-11-12 松下電器産業株式会社 集積回路の電力管理システム
JP2002182776A (ja) 2000-12-18 2002-06-26 Kenwood Corp 動作周波数制御システム及び動作周波数制御方法
US20020087904A1 (en) * 2000-12-28 2002-07-04 Zhong-Ning (George) Cai Method and apparatus for thermal sensitivity based dynamic power control
JP3884914B2 (ja) * 2001-01-30 2007-02-21 株式会社ルネサステクノロジ 半導体装置
US20020112193A1 (en) * 2001-02-09 2002-08-15 International Business Machines Corporation Power control of a processor using hardware structures controlled by a compiler with an accumulated instruction profile
JP3888070B2 (ja) 2001-02-23 2007-02-28 株式会社ルネサステクノロジ 消費電力制御インタフェースを有する論理回路モジュール及び該モジュールを記憶した記憶媒体
US6895520B1 (en) 2001-03-02 2005-05-17 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US6509788B2 (en) * 2001-03-16 2003-01-21 Hewlett-Packard Company System and method utilizing on-chip voltage controlled frequency modulation to manage power consumption
US6535735B2 (en) * 2001-03-22 2003-03-18 Skyworks Solutions, Inc. Critical path adaptive power control
US6836849B2 (en) * 2001-04-05 2004-12-28 International Business Machines Corporation Method and apparatus for controlling power and performance in a multiprocessing system according to customer level operational requirements
US7058834B2 (en) 2001-04-26 2006-06-06 Paul Richard Woods Scan-based state save and restore method and system for inactive state power reduction
US7254721B1 (en) 2001-05-01 2007-08-07 Advanced Micro Devices, Inc. System and method for controlling an intergrated circuit to enter a predetermined performance state by skipping all intermediate states based on the determined utilization of the intergrated circuit
JP2002366351A (ja) 2001-06-06 2002-12-20 Nec Corp スーパースカラ・プロセッサ
CN100451914C (zh) * 2001-08-29 2009-01-14 联发科技股份有限公司 锁相环迅速加电方法和装置
JP2003086693A (ja) * 2001-09-12 2003-03-20 Nec Corp 半導体集積回路
JP2003099148A (ja) * 2001-09-19 2003-04-04 Sanyo Electric Co Ltd データ処理装置とこれに利用可能なシステム制御装置およびデータ変換方法
JP4974202B2 (ja) * 2001-09-19 2012-07-11 ルネサスエレクトロニクス株式会社 半導体集積回路
JP4050027B2 (ja) * 2001-09-28 2008-02-20 株式会社日立製作所 情報処理装置及び情報処理装置の制御方法
US7111178B2 (en) 2001-09-28 2006-09-19 Intel Corporation Method and apparatus for adjusting the voltage and frequency to minimize power dissipation in a multiprocessor system
US7111179B1 (en) 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US6631502B2 (en) 2002-01-16 2003-10-07 International Business Machines Corporation Method of analyzing integrated circuit power distribution in chips containing voltage islands
US6976182B1 (en) 2002-02-01 2005-12-13 Advanced Micro Devices, Inc. Apparatus and method for decreasing power consumption in an integrated circuit
US6667648B2 (en) * 2002-04-23 2003-12-23 International Business Machines Corporation Voltage island communications circuits
US6779169B1 (en) 2002-05-31 2004-08-17 Altera Corporation Method and apparatus for placement of components onto programmable logic devices
US6908227B2 (en) 2002-08-23 2005-06-21 Intel Corporation Apparatus for thermal management of multiple core microprocessors
US6820240B2 (en) * 2002-09-25 2004-11-16 International Business Machines Corporation Voltage island chip implementation
US6779163B2 (en) * 2002-09-25 2004-08-17 International Business Machines Corporation Voltage island design planning
US6711447B1 (en) 2003-01-22 2004-03-23 Intel Corporation Modulating CPU frequency and voltage in a multi-core CPU architecture
US7085945B2 (en) 2003-01-24 2006-08-01 Intel Corporation Using multiple thermal points to enable component level power and thermal management
EP3321769A1 (en) * 2003-05-07 2018-05-16 Conversant Intellectual Property Management Inc. Managing power on integrated circuits using power islands
WO2005024910A2 (en) * 2003-09-09 2005-03-17 Robert Eisenstadt Apparatus and method for integrated circuit power management
WO2005125012A1 (en) * 2004-06-15 2005-12-29 Koninklijke Philips Electronics N.V. Adaptive control of power supply for integrated circuits
US7382178B2 (en) 2004-07-09 2008-06-03 Mosaid Technologies Corporation Systems and methods for minimizing static leakage of an integrated circuit
US7279956B2 (en) 2004-07-09 2007-10-09 Mosaid Technologies Incorporated Systems and methods for minimizing static leakage of an integrated circuit
US7900201B1 (en) * 2004-12-21 2011-03-01 Zenprise, Inc. Automated remedying of problems in software application deployments
US8015426B2 (en) * 2008-03-27 2011-09-06 International Business Machines Corporation System and method for providing voltage power gating
US8390249B2 (en) * 2009-11-30 2013-03-05 Broadcom Corporation Battery with integrated wireless power receiver and/or RFID

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6462976B1 (en) * 1997-02-21 2002-10-08 University Of Arkansas Conversion of electrical energy from one form to another, and its management through multichip module structures
CN1353454A (zh) * 2000-11-15 2002-06-12 国际商业机器公司 半导体芯片设计方法
US6523150B1 (en) * 2001-09-28 2003-02-18 International Business Machines Corporation Method of designing a voltage partitioned wirebond package

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
D.E.Lackey,"Managing power and performance for system-on-chip using power islands", IEEE International Conference on Computer Aided Design,10-14 Nov. 2002 *

Also Published As

Publication number Publication date
US7051306B2 (en) 2006-05-23
US7415680B2 (en) 2008-08-19
US11362645B2 (en) 2022-06-14
US10243542B2 (en) 2019-03-26
US10749506B2 (en) 2020-08-18
KR100915258B1 (ko) 2009-09-03
US20090152948A1 (en) 2009-06-18
US20040268278A1 (en) 2004-12-30
US8762923B2 (en) 2014-06-24
CN100416573C (zh) 2008-09-03
JP2012123823A (ja) 2012-06-28
US9660616B2 (en) 2017-05-23
KR20090053964A (ko) 2009-05-28
WO2004102623A2 (en) 2004-11-25
EP1623349A2 (en) 2006-02-08
US20120256485A1 (en) 2012-10-11
TWI471714B (zh) 2015-02-01
US20190173453A1 (en) 2019-06-06
TW200945021A (en) 2009-11-01
JP4992131B2 (ja) 2012-08-08
US9166412B2 (en) 2015-10-20
TW201235813A (en) 2012-09-01
KR100992177B1 (ko) 2010-11-04
US20140333134A1 (en) 2014-11-13
EP1623349B1 (en) 2018-01-24
US7996811B2 (en) 2011-08-09
TWI371674B (en) 2012-09-01
US20170288649A1 (en) 2017-10-05
US20060123365A1 (en) 2006-06-08
US20120043812A1 (en) 2012-02-23
EP1623349A4 (en) 2011-06-29
KR101189346B1 (ko) 2012-10-09
US8782590B2 (en) 2014-07-15
KR20100053697A (ko) 2010-05-20
JP2007501478A (ja) 2007-01-25
CN1820270A (zh) 2006-08-16
EP3321769A1 (en) 2018-05-16
KR20060017773A (ko) 2006-02-27
TW200510985A (en) 2005-03-16
US20210036689A1 (en) 2021-02-04
US20080276105A1 (en) 2008-11-06
US7945885B2 (en) 2011-05-17
JP2009277252A (ja) 2009-11-26
KR101053010B1 (ko) 2011-07-29
KR20110011750A (ko) 2011-02-08
WO2004102623A3 (en) 2005-07-14
JP2013117994A (ja) 2013-06-13
US20160087608A1 (en) 2016-03-24

Similar Documents

Publication Publication Date Title
TWI397795B (zh) 用於使用功率島管理積體電路上功率的系統及其方法
JP5770300B2 (ja) 処理ノードの熱制御のための方法および装置
JP2007042091A (ja) プロセッサモジュールの電力監視
US20190114109A1 (en) Power efficient retraining of memory accesses
CN117616362A (zh) 带内通信接口功率管理隔离
US20140122799A1 (en) Storage device and power saving method thereof

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent