JP4916090B2 - ドープiii−v族窒化物材料、ならびにそれを含む超小型電子デバイスおよびデバイス前駆体構造 - Google Patents

ドープiii−v族窒化物材料、ならびにそれを含む超小型電子デバイスおよびデバイス前駆体構造 Download PDF

Info

Publication number
JP4916090B2
JP4916090B2 JP2003581267A JP2003581267A JP4916090B2 JP 4916090 B2 JP4916090 B2 JP 4916090B2 JP 2003581267 A JP2003581267 A JP 2003581267A JP 2003581267 A JP2003581267 A JP 2003581267A JP 4916090 B2 JP4916090 B2 JP 4916090B2
Authority
JP
Japan
Prior art keywords
layer
delta
doped
device structure
algan
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003581267A
Other languages
English (en)
Other versions
JP2005526384A5 (ja
JP2005526384A (ja
Inventor
フリン,ジェフリー,エス.
ブランデス,ジョージ,アール.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wolfspeed Inc
Original Assignee
Cree Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cree Inc filed Critical Cree Inc
Publication of JP2005526384A publication Critical patent/JP2005526384A/ja
Publication of JP2005526384A5 publication Critical patent/JP2005526384A5/ja
Application granted granted Critical
Publication of JP4916090B2 publication Critical patent/JP4916090B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • H01L29/7784Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material with delta or planar doped donor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures
    • H01L29/152Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
    • H01L29/155Comprising only semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • H01L29/365Planar doping, e.g. atomic-plane doping, delta-doping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/025Physical imperfections, e.g. particular concentration or distribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/305Structure or shape of the active region; Materials used for the active region characterised by the doping materials used in the laser structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/32Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures
    • H01S5/323Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser
    • H01S5/32308Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser emitting light at a wavelength less than 900 nm
    • H01S5/32341Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures in AIIIBV compounds, e.g. AlGaAs-laser, InP-based laser emitting light at a wavelength less than 900 nm blue laser based on GaN or GaP

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Bipolar Transistors (AREA)

Description

発明の背景
発明の分野
本発明は、ドープIII−V族窒化物材料、例えば窒化アルミニウムガリウム(AlGaN)、窒化ガリウム(GaN)および窒化インジウムガリウム(InGaN)材料、このような材料の形成方法、ならびにこのような材料を含む超小型電子デバイスおよびデバイス前駆体構造に関する。
関連技術の説明
III−V族窒化物半導体は、そのワイドバンドギャップ、高熱伝導率および大きな降伏電界のため、高温、高周波数および高出力超小型電子工学ならびに紫外線/青/緑光電子工学において有用な材料として大きな可能性がある。
超小型電子デバイス用途には、AlGaN−GaN多層ベースレーザダイオード、高電子移動度トランジスタ(HEMT)、電界効果トランジスタ(FET)、ヘテロ接合バイポーラトランジスタ(HBMT)、発光ダイオード(LED)および紫外線光検出器、ならびに一般に高周波、高出力通信用、高密度光学記憶フルカラーディスプレイ用、および他のワイドバンドギャップ半導体用途用デバイスを始めとする(Al、In、Ga)Nベースデバイスがある。
窒化アルミニウムガリウム(AlGaN)および窒化ガリウム(GaN)材料は特に、ワイヤレスおよび光通信システム用次世代電子および光電デバイス用のIII−V族窒化物材料になると思われる。とはいえ、III−V族窒化物材料にはその開発や展開に難題を投げかける固有の限界がある。
具体的には、以下を達成するためにIII−V族窒化物半導体材料のドーピングを改善する必要がある。
a)高キャリア移動度
b)広ドーピング範囲
c)低ドーパント活性エネルギー
d)高キャリア移動度および広ドーピング範囲の同時改善に関連する低抵抗率
e)特性a)〜d)の高再現性
f)ドーパント不純物を活性化する必要性の排除または代替的にドーパント活性化に必要な温度/時間の低減
g)不純物の組込みを通して生じる窒化物材料に対する活性区域変化の排除
h)ドーパント不純物の組込みに起因する窒化物材料に対する変化の最小化、および
i)ドープIII−V窒化物材料の性質、例えば抵抗率(上記(d)とは対照的に、ある用途においては抵抗率を上昇させるかまたは他の材料特性を変動させることが望ましい)を選択的に変動させる能力。
当該技術では満足な解決ができなかったこれらの課題に本発明が取り組む。
発明の概要
本発明は、ドープIII−V族窒化物材料、例えば窒化アルミニウムガリウム(AlGaN)、窒化インジウムガリウム(InGaN)および窒化ガリウム(GaN)材料、このような材料の形成方法、ならびにこのような材料を含む超小型電子デバイスおよびデバイス前駆体構造に関する。
一の態様における本発明は、III−V族窒化物、例えば、GaNおよび関連する窒化物のデルタドーピング、ならびにこのような材料の超格子ドーピングを図る。
本明細書において用いる用語「III−V族窒化物」とは、窒素とAl、InおよびGaのうちの少なくとも1つとを含む半導体材料を指す。
本発明は、III−V族窒化物材料、(Al、In、Ga)Nならびにこのような材料を含むデバイスおよびデバイス構造に関する。用語(Al、In、Ga)Nは、Al、InおよびGaのうちの1つ以上を含む窒化物の順列をすべて含むため、代替材料としてAlN、InN、GaN、AlInN、AlGaN、InGaNおよびAlInGaNを包含し、このような金属の2つまたは3つすべてを含有する化合物のAl、InおよびGaの化学量論係数は、このような化学量論係数すべての合計が1となる条件で0と1との間の適当な値を有し得る。この点において、水素または炭素などの不純物、ドーパント、もしくはボロンなどの歪み変更材料を(Al、In、Ga)N材料に組込むこともできるが、すべての化学量論係数の合計が1であり変動は±0.1%の範囲内である。このような化合物の例には、AlGa1−xNここで0≦x≦1、およびAlInGa1−x−yNここで0≦x≦1且つ0≦y≦1がある。本発明の実施において重要な好ましい材料は、GaNおよびAlGaNである。
一の態様において、本発明は、デルタドープ層および/またはドープ超格子を含むIII−V族窒化物超小型電子デバイス構造に関する。
他の態様において、本発明は、デルタドープ層を含むIII−V族窒化物超小型電子デバイス構造に関する。
上記デルタドープ層は、例えば上記デバイス構造の中間非ドープIII−V族窒化物層に形成することができる。
上記III−V族窒化物層は、例えばAlGaNまたはGaNを含むとともに、上記デルタドープ層がSi、Ge、Mg、C、O、Ca、Zn、Li、Mn、Na、K、Cd、Rb、Sr、ScおよびBeからなる群から選択された1つのドーパント種を含み得る。
上記超小型電子デバイス構造は、一例としてLED、レーザダイオード、AlGaN/GaN高電子移動度トランジスタ(HEMT)、コンタクト構造、分離構造、AlGaN FET、光電トランジスタ検出器、トンネルドープ構造、ドープベースへテロ接合バイポーラトランジスタ(HBT)および光学フィルタからなる群から選択されたデバイス構造を含むことができる。
他の態様において、本発明は、ドープIII−V窒化物超格子を含むIII−V族窒化物超小型電子デバイス構造に関する。
本発明の他の態様、特徴および実施形態は次の開示と添付の特許請求の範囲からより詳細に明らかになろう。
発明の詳細な説明およびその好適な実施形態
ここに以下の参考文献のそれぞれ全体を本明細書に引用して援用する。ザオ(Zhao)ら著、応用物理レター(Applied Physics Letters)77巻(14)2000年10月2日、2195〜2197頁、キム(Kim)ら著、MRS窒化系半導体インターネット論文誌(MRS Internet Journal of Nitride Semiconductors)、Res4S1、G3.49(1999)、「オプトエレクトロニックおよびエレクトロニックデバイス用自立窒化(アルミニウム、インジウム、ガリウム)((Al、In、Ga)N)基板上で改善エピタキシ品質(表面テクスチャおよび欠陥密度)を達成するための方法(METHOD FOR ACHIEVING IMPROVED EPITAXY QUALITY (SURFACE TEXTURE AND DEFECT DENSITY) ON FREE−STANDING (ALUMINUM,INDIUM,GALLIUM) NITRIDE ((Al、In、Ga)N) SUBSTRATES FOR OPTO−ELECTRONIC AND ELECTRONIC DEVICES)」に対してジェフリーS.フリン(Jeffrey S.Flynn)らの名において2000年6月28日に出願された米国特許出願第09/605,195号明細書、「低欠陥密度(Al、In、Ga)Nおよびそれを作製するためのHVPEプロセス(LOW DEFECT DENSITY (Al、In、Ga)N AND HVPE PROCESS FOR MAKING SAME)」に対してロバートP.ボード(Robert P.Vaudo)らの名において1998年10月26日に出願された米国特許出願第09/179,049号明細書、および「(Ga,Al,In)Nベース層を用いたGaNベースデバイス(GaN−BASED DEVICES USING (Ga,Al,In)N BASED LAYERS)」に対してロバートP.ボード(Robert P.Vaudo)らの名において2000年12月5日に発行された米国特許第6,156,581号明細書。
デルタドーピングは、高濃度のドーパント不純物を半導体結晶中の極小体積内に配置することができる二次元ドーピング技術である。デルタドープ層は、適正半導体サイトIIIまたはV上の母体半導体結晶内に配置されたドーパント不純物(n型またはp型)のたった一層のモノマー層により構成されていることが理想的である。通例、デルタドーピングは半導体結晶成長が中断されたときに生じ、高品質のデルタドープ層を形成する際にプレポーズ、ポーズ、ポストポーズ条件が不可欠である。本発明が意図するデルタドーピングは、半導体材料上または内のドーパント原子の単一層またはほぼ単一層を含む。以下にさらに詳細に説明するように「中断ドーピング」の好適な実施において、概してデルタドーピング層は中断ドーピング前後の半導体材料のエピタキシャル成長を含むステップにより内部の層として半導体材料に内在するため、ドーパント原子は半導体材料内で内部の層内に挿入される。
デルタドープ層がコンタクト層に利用される場合には、このデルタドープ層はコンタクト層に直に露出されて、半導体材料には封入あるいは内在化されずに半導体材料とコンタクト要素を形成する金属化層との間に介挿される。
そのため、デルタドーピングは単一層ドーピング技術であり、単一層厚さ層当量よりはるかに大きい半導体結晶材料の体積内に不純物を組み込むために用いられる、より一般的なバルクドーピング技術とは対照的である。本発明の一般的な実施において、ポーズ期間中に反応物内の残留成長成分のため成長がなお続くことがあり(特にプレポーズ期間により成長成分を完全に除去する十分な時間がない場合)、結局デルタドーピング層は厚さが一層または数層の原子層になる場合があり、このような変動は半導体材料内のドーパント原子の単一層を得る好適な実施に対して本発明によるデルタドーピングの全般的な範囲内にあると予想されることは理解できよう。
本発明によるデルタドーピングは、有機金属化学気相成長(MOCVD)、分子線エピタキシ(MBE)、ハイドライド気相成長(HVPE)、プラズマエッチ化学成長(PECVD)等などのプロセスを利用してインサイチュドーピングにより行なわれ、ドーパント不純物が組込まれ、注入され、アニール処理され、さらに選択的に分離される。インサイチュドーピング技術を様々な実施形態において実施して、本発明の特定の用途での要求に応じてドーパントをIII族サイトまたはV族サイトまたはIII−V族材料の格子間サイト内に組込むことができる。
本発明によるデルタドーピングの前後にIII−V族窒化物半導体材料の堆積に利用して有利な例示的プロセス条件には、約400〜約1200℃の範囲の温度、約1〜約1000torrの範囲の圧力、約1〜約100,000の範囲のV/III比、デルタドーピングを行って半導体材料内に所望のデルタドーピング層を作製するのに十分なポーズ期間、および窒素、水素、アルゴン、ヘリウム、もしくは他の適当な単一成分または多成分ガス種の1つを含むドーピング作業の周囲環境が挙げられる。成長条件は、固有の欠陥を所定の低レベルに維持するとともに成長材料内への外部からの有害な不純物の組込みを最小限に抑えるように当該技術の範囲内で容易に最適化される。
ドーピング作業がエピタキシャル成長プロセスに合うプロセス条件で有利に行われるため、全体温度変動および他のプロセスパラメータの変化が回避される、すなわち、連続処理シーケンスにおいてドーピングをエピタキシャル成長プロセスと一体化することができる。
エピタキシャル成長、膜成長の休止、ドーピング、ドーピングの休止およびエピタキシャル成長の再開を含むこのような連続処理シーケンスが好ましいが、デルタドーピングを非連続的に行ってもよい。そのため、(Al、In、Ga)N材料の最初のエピタキシャル成長を行って得られた物品を蓄積してもよく、あるいはデルタドーピング作業の後続実行に対して中断してもよい。
その後ドーピングが完了した時、ドープ半導体材料物品を蓄積してもよくあるいは最終的なエピタキシャル成長プロセスに対して遅延させて、デルタドープ層が含まれる半導体材料を形成してもよい。
プレポーズからポストポーズまでのステップを多数回繰り返して所望の結果をもたらすこと、例えば、各々が他のデルタドーピング層に対して離間関係にある多数のデルタドープ層を形成することができる。
それぞれプレポーズ、ドーピング、およびポストポーズステップにおける最適な界面条件には、ドーパントの選択的組込みのために処理された清浄な表面(例えば、最終的なプレポーズ作業として表面処理を行った)、欠陥の発生を最小限に抑えるための制御プロセス条件、組込み位置を制御しながらの層成長の継続および外部からの不純物の組込み(ドーピングステップ)、および界面上のドーパント不純物の再構成、分離および再分配のための制御プロセス条件がある。
デルタドーピング層を形成するための界面上のドーパント不純物に堆積物の再構成、分離および再分配に関して、本発明はデルタドーピング技術として注入、移動および局在化ステップを含む処理を検討する。
例示的実施例として、プレポーズステップ中、イオン注入機構を反応物内に配置して、材料の適正領域内の半導体結晶内への注入を可能にするように成長条件を調整する。続くポーズステップ中、注入機構を作動させて、Siイオンを材料内に導入する。この後、半導体結晶内で特定の寸法にSiキャリアをアニール処理、移動または局在化させるポストポーズステップが続き、好適なデルタドープまたは変調デルタドープ構造を形成する(例えば、ドーパント不純物原子を、好適にはドーパント原子種の直径(原子直径)の約25倍を超えない厚さ、より好適にはドーパント原子種の直径の約15倍を超えない厚さ、さらに最も好適にはドーパント原子種の直径の約10倍を超えない厚さを有するほぼ平坦な領域に凝集させる)。
プレポーズ、ポーズおよびポストポーズ・ドーピングプロセスステップは、高品質デルタドーピングを可能にするための追加半導体材料処理、復元または変更ステップを含んでいてもよく、これには温度変更、環境条件変更、前駆体変更、圧力変更、合計流量変更、不純物添加変更、界面活性剤添加(ドープ表面品質およびドーパント不純物組込みの均質性を改善するため)、材料エッチングまたは除去、選択または局所領域材料除去等があるが、これに限定されない。
本発明によるデルタドーピングは、従来技術のドープIII−V族窒化物材料に比べて生成物III−V族窒化物材料の大幅な移動度の向上とシート抵抗低減を達成する。特定の実施例として、本発明によるAlGaNのデルタドーピングは対応するバルクドープAlGaN材料と比較して2倍を超える移動度の向上を達成した。
この改善は図1のデータに示されているが、この図はデルタドープAlGaN層に対するホールキャリア濃度の関数としての室温ホール移動度のプロットである。図1に示されたデルタドーピングデータは、5、10および25オングストロームの異なるデルタドープ層厚さを用いて、デルタドーピングシート電荷をキャリア濃度に変換することにより作成された。デルタドープ層厚さはC−VデータのFWHM(およそ10オングストローム)から測定された。デルタドーピングデータを従来の同等25%のアルミニウム組成の1〜3μmバルクドープAlGaN層のデータと比較した。図1のグラフにプロットされたデータは、デルタドープ構造がバルクドープ構造と比べて等価キャリア濃度において格別に高い移動度を示すということを表わしている。全データは室温条件で測定された。
我々はこのような電子移動度の大幅な改善に対する特定の機構を解明できなかったが、改善の大きさがGaNおよび関連の材料系に伴うこれまでのドーピング欠陥の解決をもたらすことは明らかである。示された改善の本質または程度に関してはいかなる理論に束縛されることも望まないが、それは大幅に増加した電子移動度が、ドープ層の領域内の格子歪みによるこれらのIII−V族窒化物材料における高い圧電効果、およびキャリア−不純物相互作用の変更、不純物散乱の低減、欠陥散乱の低減およびフォノン−フォノン相互作用の低減を始めとする他の効果によるところが大きい可能性がある。
本発明による大幅な電子移動度の改善を、コンタクト抵抗率の改善、p型抵抗率の改善(例えばデルタドープベースヘテロ接合バイポーラトランジスタ(HBT)およびレーザデバイス構造での)、デバイス信頼度の向上およびデバイス動作特性の向上の実現、さらに新規の超小型電子デバイス構造の実現に適用できる。
我々は2つの高電子移動度トランジスタ(HEMT)構造を作製するとともにそれらの特性を比較することにより向上電子移動度デバイスに対する本発明の利点および可能性を実証した。図2に示されている第1の構造(構造A)は非ドープHEMT構造である。図3に示されている第2の構造(構造B)はデルタドープHEMT構造である。ゲート、ソース、ドレイン、パッシベーションおよび他の層を当該技術範囲内で従来の方法で堆積して最終HEMT構造を完成可能であることは理解されよう。
図2に示すように、HEMT構造(構造A)は基本構造としてサファイア基板10とバッファ層12とで構成した。基板10は代替的にはGaN、SiCまたは任意の他の適当な基板材料で構成することもできた。バッファ層はAlNで形成するとともに約400オングストローム程度の厚さであった。この基本構造上に厚さ3マイクロメートルであるGaN層14と、厚さ200オングストロームであるAl0.25Ga0.75N層16とを成長させた。
比較構造Bは図3に示されており、Al0.25Ga0.75N層16が厚さ30オングストロームの下部と厚さ170オングストロームの上部とで構成されその間にシリコンデルタドープ層18を備えていること以外は、構造Aと同様の層で構成した(対応する層のすべてに図2で使用したものと同一番号に準拠して対応した番号を付してある)。デルタドープ層18はデルタドープ層を有する厚いAl0.25Ga0.75Nで構成された較正構造内に5×1012cm−2ホールシート電荷を生じる。
図2に示したタイプの従来技術のHEMT構造において、HEMT構造内でシート電荷を増加させると、図4に示すようにその分構造の電子移動度特性が低下することになる。図4は、サファイア基板(構造A)上のHEMTの厚さ300オングストロームのAl0.30Ga0.70N層ホールシート電荷(cm−2)の関数としてのホール移動度(cm−1−1)のプロットである。
以下の表1に示すように、デルタドープ構造(構造B)は高シート電荷における電子移動度の低下の有害な効果を示してはおらず、事実はるかに高いシート電荷で非HEMT構造(構造A)と同等の移動度を示している。
さらに比較構造Cを作製したが、これはAl0.25Ga0.75N層16の厚さ30オングストロームの下部を含み、Al0.25Ga0.75N層16の上部が厚さ70オングストロームしかなく中間層18がデルタドープではなくバルクドープされていること以外は、図3内に構造Bに対して概略的に示したものと同一の一般的構造および層を有する(対応する層のすべてに図2で使用したものと同一番号を準拠して対応した番号を付してある)。バルクドープ層18は厚さが100オングストロームであるとともに、5×1012cm−2の同等なシート電荷に対して5×1018cm−3のキャリア濃度を含んでいた。
様々なサンプル構造(構造A、BおよびC)に対するレイトン(Lehighton)シート抵抗(オーム/平方)をまとめた以下の表2の結果により示されるように、デルタドープHEMT構造(構造B)は対応するバルクドープHEMT構造(構造C)と比べて改善シート抵抗を示した。
高均一性ドーピング
従来のバルクドープ均一性は成長速度ならびに前駆体均一性、気相流均一性およびドーパント前駆体均一性の関数である。デルタドーピングにおいて、成長速度均一性変動を排除することにより、均一且つ再生可能なドーピング特性を達成する可能性を向上する。さらにドーピング均一性に影響を与える他の要因にはドーパント濃度、デルタドーピングプロセス条件およびデルタドーピング時間があるが、本明細書の開示に基づいて当該技術範囲内で容易に最適化して、格子内への所望の組込み速度をもたらすドーピング飽和条件を提供することができる。
デルタドーピングによる歪み設計
母体格子に対するドーパント原子サイズの結果としてデルタドープ層と母体半導体マトリックスとの間に歪みがある場合、デルタドーピングレベルに依存するGaN材料系などのIII−V窒化物材料系での圧電効果は、デルタドープ構造およびそれを備えたデバイス構造を最適化する際に大きな役割を果たすことができる。デルタドープ層から得られる圧電特性の適正な技術のために、複数のタイプの不純物の組み合わせ(異なる格子サイズ、格子配置類似性および活性エネルギー)を、Si、Ge、Mg、C、O、Ca、Zn、Li、Mn、Na、K、Cd、Rb、Sr、ScおよびBeを含むデルタドープ層に採用することができる。さらに圧電特性のさらなる最適化は、デルタドープ層との混合AlInGaN四元合金を作ることにより達成することができる。
改善デバイス構造安定性
AlGaN HEMTのシート電荷は概して、AlGaNアルミニウム含有量を増加させるおよび/または厚さを増加させることにより対応して増加する歪みによって増加する。しかし1013cm−3程度の適度なシート電荷を達成するためには、一般にAlGaNバリア層の臨界厚さを超えなければならない。これにより緩和の可能性のある不安定なデバイス構造になる。この欠陥を排除する1つの方法は、チャネル領域(層14に最も近接した領域16)に高Al含有量を有するAlGaN層を用いて構造を成長させた後、それを徐々に研磨してチャネルから(層14/層16界面から)離間した低Al含有AlGaNにすることである。シート電荷を増加させる他の方法は、バリア層のバルクドーピングなどの従来の技術の利用があるが、バリア層の厚さを相当厚くするかまたはドーピングレベルを非常に高くして材料劣化しなければならない。
バリア層にデルタドーピング層を組込むことによりチャネルに対する電荷寄与が大幅に向上することが分かった。我々はこれを、5×1012cm−2シート電荷を有するバリア層のバルクドーピング(100オングストローム、5×1018cm−3)と比べて、5×1012cm−2シート電荷を有するAlGaN HEMTに対して実証した。デルタドープ構造は図5および6に示すようにチャネルに対する改善電荷寄与をもたらした。
図5は、構造AのI−HEMTデバイス、構造BのデルタドープHEMTデバイス、および構造Cのn−HEMTに対する深さ(μm)の関数としてのC−Vドーピング(cm−3)のプロットである。
図6は、構造AのI−HEMTデバイス、構造BのデルタドープHEMTデバイス、および構造Cのn−HEMTに対する電圧(V)の関数としての静電容量(pF)のプロットである。
これらの結果は、安定性に劣り、厚い、高アルミニウム含有量構造およびバルクドープ構造に匹敵する特徴を有するデルタドープ構造に対して、より薄い厚さおよびより少ないアルミニウム含有量が達成できることにより、AlGaN層の臨界厚さ未満でより安定したデバイス構造を達成することができる、ということを実証している。またデルタドーピング層を組込んでチャネルに対する電荷寄与を向上させる能力はデバイス構造設計者にさらに自由度を与えるとともに、歪みおよびその結果得られる圧電特性の最適化を可能にするため、最高の信頼性および最高性能デバイス構造を達成することができる。
改善デバイス構造安定性のその他の実施例は、デバイス構造内のデルタドープ層の位置に関する。最適な配置はデバイスの用途やデバイス性能ニーズに応じて各デバイス内にある。例えば、デルタドープHEMT内では、好適な実施はデルタドープ層を、デルタドープ層とチャネルとの間の距離が電荷キャリアのトンネル距離未満になるように(図31)チャネルに近接してHEMTのバリア内に配置することを含む。
改善光学特性
SiまたはMgなどのドーパント種でGaNおよびAlGaN層をバルクドーピングすると、デバイス構造の光ルミネセンス特性によって明らかであるようにさらに深いレベルが生じる。これらの深いレベルは、一般に、III−V族窒化物材料で重要なエネルギー出射および伝達用途において所望されるよりはるかに少ないエネルギーであるため、その結果これらの深いレベルは、エミッタに対して非常に低い光取出し効率と、検出器に対して非常に遅い応答性と、フィルタに対して非常に悪い光伝達をもたらす恐れがある。デルタドーピングは選択実質的単一層等価体積のドープ材料を提供するため、本発明においてデルタドーピングを採用してこれらの光学的活性欠陥の影響を軽減することができる。またデルタドーピングは、半導体のバンドギャップより低いエネルギーの光に対する層またはデバイス構造感度を低減するのに有用であるため、対応する半導体デバイスのノイズ特性の改善をもたらす。
改善デバイス特性
前述の段落において説明したように、GaNおよびAlGaNなどのIII−V窒化物材料のバルクドーピングは材料内に深いレベルを生じる。デルタドーピングを利用してこれらの深いレベルを含むデバイス層の断面(体積)を低減することは、温度安定性、寿命、リークおよび降伏特性を始めとするデバイス特性の大幅な改善をもたらすことになる。
この点、構造Bデバイスは内部に深いレベルが少ないため、デルタドープバリアHEMT構造(構造B)は、バルクドープHEMT構造(構造C)と比べてデバイスがピンチオフのときに改善した降伏特性およびリーク特性を示し、そのため抵抗率が改善するとともに降伏経路が減少する。
改善デバイス製造
デルタドープ層をデバイス内のその配置と関連して設計することにより、例えば、デルタドープコンタクト層、分離層および配線層のデバイス構造内での設置を始めとする改善デバイス製造を可能にする。例えば、デルタドープコンタクト層をHEMTデバイス構造(領域16)の上部付近に配置して、ソースまたはドレインコンタクトのオーミック接触動作を改善することができる。その結果得られるデバイスは、注入エネルギーおよび時間が低減されるため、等価抵抗率のバルクドープ層より注入分離により容易且つ再現可能に分離されることになる。その結果、注入量および注入損傷が大幅に低減することにより、分離、降伏およびリーク特性を始めとする改善デバイス特性をもたらすことになる(図26および27)。
本発明が意図するデルタドーピングの他の用途として、デルタドープ層を本発明の他の様態でエッチングストップとして利用することが可能であり、または代替的にデルタドープ層から得られるドーパント信号を用いて特定の半導体デバイスまたはデバイス前駆体構造の製造に望ましいエッチング深さを認識することもできる。
本発明によりデルタドープ層が形成されたデバイスは例えば以下を始めとする任意の好適なタイプを有するため有用である。
a)コンタクトおよび分離構造
b)高周波AlGaN FETまたはHEMT
c)一般的なデルタドープ構造および光学的機能
d)光電トランジスタ検出構造
e)トンネルドープ構造
f)デルタドープベースHBT(NPN)
g)光学フィルタ
h)検出器
超格子構造
超格子構造は、合金組成が材料厚さまたは材料厚さの一部分にわたって規則的または周期的に変化する構造である。合金組成は通常2つの組成間で変化し、その変化は通常急峻であり、さらに合金組成のその変化は、通例、図7に示すようにバンドギャップの変化をもたらす。急峻度は用いたデバイス製造技術の結果である。原則的に、超格子は図8に示すように3つ以上の合金を有することも可能であるが、説明を容易にするため超格子構造は以下に2つの領域、以下領域1および領域2として表示する領域を有するものとして例示的に説明する。
半導体において超格子構造の周期x(図7参照)は一般的に二、三ナノメートルから二、三十ナノメートルの範囲であるが、この範囲はIII−V族窒化物材料系で1ナノメートルほど小さくおよび500ナノメートルほど大きい範囲である場合がある。超格子内の周期数および2つの合金領域の幅wおよびwはデバイスおよびその最終用途により必要に応じて変えることができる。
超格子は、図9、10および11が、合金1および合金2におけるn型ドーパントエネルギーレベル(図9)、領域のみにおけるp型ドーピング(図10)、および領域1および領域2における異なる濃度でのn型ドーピング(図11)に示すように、どちらかの合金または個々の合金領域内で別々にドープ可能である。ある場合には各領域内の濃度も変えることができる。例えば、領域1は1×1017cm-3の濃度のn型であり、領域2は5×1017cm-3の濃度のn型であってもよい。ドーパント不純物のエネルギーレベルは合金組成、ドーパント濃度および超格子寸法と一致してバンドギャップと共に変化することになる。図11に示すように、領域1および領域2の異なるドーピング濃度はバンドオフセットにつながることがある。
本発明の一態様において、高活性エネルギーを有するドーパントは特定の温度および合金組成に対してキャリアを提供しないことがある。例えばイオン化ドーパント不純物を伴わない図12のエネルギー図に示されている合金1を参照されたい。このドーパントを、合金2のバンドギャップが合金1のバンドギャップより大きい合金1および合金2を有する超格子構造で用いると、材料の導電率はより高い。ドーパントは両領域内に組込むか、または領域2のみに組込むことができる。より高い導電率が領域1内のキャリアから生じるが、それは図13のエネルギー図に示すように領域2内のドーパントから発生したものである。温度および領域1の組成のため、領域1内のドーパントはどれも通常有意に貢献しない。
MgはGaNおよび少量のAl含有AlGaNでのp型導電用に一般に用いられるドーパントであるが、Mgを有するGaN内で1×1018cm−3を超えるキャリア濃度を達成することは困難である。上記の理由でMgは好適な効果のドーパント種である。GaNおよびAlGaN超格子構造用代替的ドーパントにはCa、Na、K、Zn、Li、Cd、Rb、Sr、ScおよびBeがある。
領域1および2の幅、領域2の合金組成(領域1組成は関連のデバイス構造の特定の最終用途により規定される)、および領域1および2のドーピング濃度を調整して所定のあるいは所望のレベルの導電率を達成することができる。
GaNまたはAlGaN用のドーパント不純物としてマグネシウムを用いる場合、材料内にある水素がMg不純物、またはMg複合体と結合して電気的に不活性化してしまうため、MgドープGaNおよびMgドープAlGaNは材料を活性化するための高アニール温度を必要とする。アニールは一般的に分解を防止するためにアンモニアまたは窒素大気下で行われ、水素をその結合状態から解放するとともに材料の外に拡散させることができる。圧電性GaN/AlGaNは、水素を除去するのに必要なエネルギーを低減するのに役立つ内部電界を示す。圧電性GaN/AlGaNの特質により、低電力/温度要件でアニールを行うことができ、その結果コストが削減される。アニール温度は十分に低いため、GaNまたはAlGaN分解の恐れがなく非窒素含有雰囲気下でアニールを行うことができる。
本発明の他の態様によれば、四元合金を成長させてIII−V族窒化物系用の超格子構造を作製する。第3の不純物の添加を用いてエピタキシャル層に固有の歪みや欠陥密度を変化させてもよい。
前述の説明はドーパント活性および活性エネルギー/キャリア濃度特性に関する超格子構造に起因する変化を対象にしたが、他の結晶特性に対する改善が超格子ドーピングの結果として同時に生じる可能性のあることは理解できよう。例えば、ドーパント活性エネルギーが超格子のエピ層で有効に変化する場合には、対応するより高濃度ドープ層に対して、必要なドーパント不純物が少なく、欠陥密度および/または熱伝導率の改善を達成できる。その結果、結晶品質および熱伝導率、ならびに超格子材料の光学的、化学的、構造的特性の改善が達成可能である。
本発明による超格子構造は、それに適正な任意の半導体体製造技術により成長または作製することが可能であり、MOVPE、MBE、HVPE、スパッタ蒸着(および場合によってはアニーリング)、注入(および場合によってはアニーリング)、およびMOVPE、MBEまたはHVPEによる成長処理中の注入などがあるが、これに限定されない。MOVPEおよびMBE技術が最も好ましい。このような成長および作製プロセスのプロセス条件は、本発明のこのような態様のある用途に対する当該技術の範囲内で容易に決定可能である。
本発明によるドープ超格子構造の改善導電率により改善オーミック電気コンタクト(低固有コンタクト抵抗を有する)を提供することできる。ドープ超格子構造の存在に伴うキャリア濃度の上昇は金属−半導体バリアの幅を減少させることになる。GaNおよびAlGaNに対するコンタクトを形成するのに用いられる一般的な金属にはPd、Ni、Au、Re、Pt/Au、Pd/AuおよびTi/Pt/Auがある。
本発明による超格子ドーピングにより形成された改善p型材料を本発明の一実施形態に用いて、特に相当な電荷置換能力(そのため高材料導電率)が必要とされる場合または非常に短い波長のフォトンのみが検出される場合の高速用途での、ソラーブラインド検出器用窒化物光電陰極の作製を容易にすることが有用である。
従来技術では高Al含有AlGaNp型を導電性にすることが非常に困難であることが分かっていた。この欠点は本発明のp型ドープAlGaN材料により克服される。
図14は高Al含有光電陰極の概略構造を示す。バンドギャップEが所望の波長のフォトンを吸収するとともにより長い波長のフォトンを通過することができるように領域3のAl含有量を選択する。所望の波長のフォトンが殆ど吸収されるように領域3の幅を選択するが、生じた電子が表面にぶつかって真空中に出射する前に再吸収されてしまうほど大きくない。0.2ミクロンまでの低濃度ドープp−GaN内の電子拡散長、およびAlGaNで得られる同様な拡散長に基づいて、一部には金属品質にもよるが領域3は厚さ二、三十ミクロンになり、低品質の材料が好適に薄くなる。
Al含有量および領域1および2の幅を選択してフォトンが支障なく通過できるようにするとともに領域3内および付近に適当な導電率を提供することが望ましい。
図14に示した構造は更なる利点を有する。領域3から領域1への遷移はバンドギャップが増加する区域である。そのためキャリアが反射する潜在的なバリアがある。この反射バリアは領域3内で生じたキャリアが出射される可能性を増加させる(すなわち光電陰極が高効率を有することになる)。
本発明のドープ超格子材料は、ユニポーラおよびバイポーラデバイスならびに2端子および3端子以上のデバイスを始めとする多様な電子および光電デバイスにおいて有用であるため有利である。
図15〜19は本発明の一実施形態によるエピタキシャル膜堆積およびデルタドーピングプロセスのプロセスフローを示す。
図15は、エピタキシャル膜成長プロセスにおいて前駆体蒸気21から基板20上に堆積しているエピタキシャル膜22を示す。図16〜19において参照を容易にするため、形成されている物品の同一のフィーチャには対応する番号を付す。
図16は、前駆体蒸気21のフロー(図15参照)の終了によりプレポーズされたものとしてエピタキシャル成長を示す。この結果エピタキシャル膜22は堆積プロセスにおいて中断される。
図17はエピタキシャル膜をドーパント源材料23からのドーパントと接触させて、エピタキシャル膜22上に単原子厚さに近いデルタドーパント層24を形成する次のステップを示す。ドーパント源材料は、エピタキシャル膜22の表面上にドーパント原子の近単原子層堆積物を形成するために、例えば不活性ガス中で高希釈されたドーパント蒸気を含んでもよい。これはプロセスのポーズステップである。
プロセスのポーズステップ中にエピタキシャル膜22上にデルタドーピング層24が形成された後、ドーパント堆積が終了する。ポストポーズの別の静止ステップが続き、この間は堆積あるいは膜形成は行われない。
次にエピタキシャル膜形成処理が再開して、デルタドーピング層24上にさらにエピタキシャル膜26が堆積する。このようにしてドーパントが下部層22と上部層26で構成されたエピタキシャル膜に内在化また挿入される。
図20、22および24はデータドープ高周波電界効果トランジスタ(図20)、対応するデバイスの電流/電圧曲線(図22)および対応するデバイスの静電容量/電圧曲線(図24)を示し、それぞれバルクドープ高周波電界効果トランジスタ(図21)、対応するデバイスの電流/電圧曲線(図23)および対応するデバイスの静電容量/電圧曲線(図25)を示す図21、23および25と比較するように配置されている。
図20は挿入デルタドーピング層34を有するエピタキシャル半導体材料32を備えるデルタドープ高周波電界効果トランジスタ30を示す。このデバイス構造はソースおよびドレインオーミックコンタクト36および40ならびにゲートショットキーコンタクト38が特徴である。
図20デバイス構造の電流/電圧曲線を図22に示し、図20デバイス構造の静電容量/電圧曲線を図24に示してある。
図21は、図20のFETデバイス構造と同一のドーパント種で材料の領域46内でバルクドープされたエピタキシャル半導体材料44を備える対応するバルクドープ高周波電界効果トランジスタ42を示す。FETデバイス構造はソースおよびドレインオーミックコンタクト48および52ならびにゲートショットキーコンタクト50を含む。
図21デバイス構造の電流/電圧曲線を図23に示し、図21のデバイス構造の静電容量/電圧曲線を図25に示してある。
図22と図23と、および図24と図25とを比較すると、図20のデルタドープFETデバイス構造の性能特性が図21のバルクドープFETデバイス構造の性能特性より明らかに優れているということが分かる。
図26はデルタドープコンタクトおよび分離構造60の概略図であり、バルクドープコンタクトおよび分離構造72の概略図、図27と比較するように配置して、この2つの構造内の注入損傷を示している。
図26に示したデルタドープコンタクトおよび分離構造60は、上方でエピタキシャル半導体材料内にデルタドーピング層64(適当なnまたはp型ドーパントの)が挿入されているデバイス活性層62が特徴である。この構造はコンタクト68および70が特徴である。注入損傷は斜線ハッチングにより示されている。
図27に示したバルクドープコンタクトおよび分離構造72は、上方に半導体材料のバルクドープ領域76があるデバイス活性層74を含む。このコンタクトおよび分離構造72はコンタクト80および82を特徴とする。デバイスの活性層74内にまで下方に延在する半導体材料の斜線ハッチング部分78は、図26のデルタドープ構造より遥かに大きな度合いの注入損傷を証明している。図27デバイス構造内のドーパント種は図26のデバイス構造内のものと同一であり、両構造とも同等の抵抗特性を有する。また本発明のデルタドープ構造は、図27のバルクドープ構造を超える図26に示したコンタクトおよび分離構造のより優れた動作特性と一致する大幅に低い度合いの注入損傷を証明している。
図28は、本発明の一実施形態によるデルタドープNPNトランジスタ84の概略図である。トランジスタ84はNコレクタ部86とNエミッタ部88とを含み、その間にデバイスのデルタドープPベース層90がある。
図29は、本発明の他の実施形態によるデルタドープへテロ接合バイポーラトランジスタ(HBT)92の概略図である。HBTデバイス92はNコレクタ部94を含み、関連するコンタクト102および104と、デルタドープPベース層96と、上にコンタクト106が形成された上部Nエミッタ(ベースのバンドギャップより大きいエミッタのバンドギャップ)部98とを有する。
図30は、本発明のさらに他の実施形態によるエミッタ構造108の概略図である。エミッタ構造108は活性領域110を含み、下部n領域とデルタドープp型ドーパント層112および114を含む上部領域とを有する。図示のようにデバイスの動作の際にデバイス構造の活性領域にフォトンが生じる。
図31は、ドーピング対深さのプロット、および本発明によりデルタドープされたトンネルドープHEMTデバイスの対応するトンネルエネルギー図であり、ドーピング対深さのプロット、およびバルクドーピングによりドープされたトンネルドープHEMTデバイスの対応するトンネルエネルギー図である図32と比較するように配置されている。トンネルエネルギー図に示した距離dはデルタドープされたHEMTデバイスの動作におけるキャリアのトンネル距離より短い。比較によりバルクドープHEMTの動作における電荷キャリアのエネルギー論は、図31および図32の比較から容易に明白であるように明らかに望ましくない。
デルタドープ超小型電子デバイスの構造的特性および動作機能が大幅に改善され、(Al、In、Ga)N材料およびそれを備えた超小型電子/光電デバイスの技術における主たる利点をもたらすことは以上から明らかであろう。
ここに紹介したものと合わせて、様々な実施形態において本明細書で特にGaNおよびAlGaNを参照して本発明を説明したが、III−V族窒化物材料(Al、In、Ga)Nはすべて本明細書に記載した本発明の広い範囲内にあるものとして考えることは理解できよう。
本発明の特徴と利点とを以下の本発明の例示的且つ非限定的実施例によりさらに詳細に示す。
実施例1
デルタドープHEMTデバイス構造
サファイア基板をH雰囲気で、100mbarの圧力で10分間1170℃に加熱した。デルタドーピング構造成長の他の部分に対して圧力を一定にした状態で、反応物を500℃まで冷却するとともに、低温AlN核生成バッファを従来の方法で堆積した。バッファ層をサファイア基板上に堆積した後、2.5slmのNHおよび20slmのH下で1220℃のサセプタ温度まで反応物を加熱して、温度を2分間安定させた。
トリメチルガリウム(TMG)を十分なフラックスで反応物に導入して、およそ2.0μm/時の成長速度を90分間提供することにより、3ミクロンの厚さを有するGaN層を堆積した。トリメチルアルミニウム(TMA)を5.5秒間導入して30オングストロームの厚さのAl0.25Ga0.75Nスペーサ層を成長させた。
次に反応物へのTMGおよびTMAを止めることによりプレポーズステップを開始して、界面は1220℃のサセプタ温度でNHおよびH雰囲気下に置いた。プレポーズは10秒続いた。プレポーズステップの後、55ppmジシラン(Hに希釈したSi)を75秒間シリコンのデルタドーピングをもたらすフラックスで反応物内に導入することによりポーズステップが開始された。
ポーズテップの後、反応物へのジシランを止めると同時に、前述したプロセス条件(プレポーズAlGaN成長の)で31.2秒間反応物へのTMAおよびTMAを出してさらに170オングストロームのAl0.25Ga0.75Nを成長させることによりポストポーズステップを開始した。この構造内でのGaNおよびAlGaN層に対するV/III比はおよそ2500であった。反応物へのTMGおよびTMAを止めることにより材料の成長を止めた。成長した材料をNHおよびH過剰圧力下で500℃未満に冷却するとともに、反応物圧力を900mbarまで傾斜させて、デルタドープHEMTデバイス構造を提供した。
実施例2
デルタドープ超格子構造
サファイア基板をH雰囲気で、100mbarの圧力で10分間1170℃に加熱した。デルタドーピング構造成長の他の部分に対して圧力を一定にした状態で、反応物を500℃まで冷却するとともに、低温AlN核生成バッファを従来の方法で堆積した。バッファ層をサファイア基板上に堆積した後、2.5slmのNHおよび20slmのHの流量下で1220℃まで基板を加熱して、温度を2分間安定させた。
トリメチルガリウム(TMG)を適当なフラックスで反応物に導入して、およそ2.0μm/時の成長速度を90分間提供することにより、3ミクロンの厚さを有するGaN層を堆積した。トリメチルアルミニウム(TMA)を適当なフラックスで導入してAl0.2Ga0.8N層を成長させた。
次に、反応物へのTMGおよびTMAを止めることによりプレポーズステップを開始した。界面は1220℃のサセプタ温度でNHおよびH雰囲気下に置いた。プレポーズは10秒続いた。プレポーズの後、ビス−シクロペンタジエニルマグネシウム(CpMg)を75秒間既に堆積された膜材料のMgデルタドーピングに適当なフラックスで反応物内に導入することによりポーズステップが開始された。
ポーズテップの後、反応物へのCpMgを止めると同時に、AlGaN材料のプレポーズ成長に用いたものと同じ条件で反応物へTMAおよびTMAを出した。このようにしてさらに厚くAl0.2Ga0.8Nを堆積させた。その後TMAを止めてGaN層を再び成長させた。
トリメチルアルミニウム(TMA)を導入して適当な厚さのAl0.2Ga0.8N層を成長させた。この構造内でのGaNおよびAlGaN層に対するV/III比はおよそ2500であった。反応物へのTMGおよびTMAを止めることにより材料の成長が止めるとともに、成長した材料をNHおよびH過剰圧力下で500℃未満に冷却し、反応物圧力を900mbarまで傾斜させて、デルタドープ超格子構造を完成させた。
実施例3
光電陰極構造
サファイア基板をH周囲雰囲気で、100mbarの圧力で10分間1170℃に加熱した。デルタドーピング構造成長の他の部分に対して圧力を一定にした状態で、反応物を500℃まで冷却するとともに、低温AlN核生成バッファを従来の方法で堆積した。AlNのバッファ層をサファイア基板上に堆積した後、2.5slmのNHおよび20slmのHの流量下で1220℃のサセプタ温度まで基板を加熱して、温度を2分間安定させた。トリメチルガリウム(TMG)およびトリメチルアルミニウム(TMA)を反応物に導入してAl0.3Ga0.7N層を成長させた。その後反応物へのTMGおよびTMAを止めることによりプレポーズステップを開始して、界面は1220℃のサセプタ温度でNHおよびH周囲雰囲気下に置いた。プレポーズは10秒続いた。プレポーズステップの後、ビス−シクロペンタジエニルマグネシウム(CpMg)を反応物内に75秒間導入してデルタドーピングを提供することによりポーズステップが開始された。ポーズテップの後、反応物へのCpMgを止めると同時に、デルタドーピングステップの前にAlGaN膜の堆積に用いたものと同じプロセス条件で反応物へTMAおよびTMGを出すことによりポストポーズステップを開始して、さらに厚くAl0.3Ga0.7Nを成長させた。その後TMAフラックスを変えることによりAlGaN膜の化学量論を変化させて、Al0.15Ga0.85N層を作製した。その後トリメチルアルミニウム(TMA)フラックスを増加させてAl0.3Ga0.7N層を成長させた。最後にこの構造にMgバルクドープGaN層を被せた。これらの構造内でのGaNおよびAlGaN層に対してV/III比はおよそ2500であった。反応物へのTMGおよびTMAを止めることにより材料の成長を止めるとともに、成長した材料をNHおよびH過剰圧力下で500℃未満に冷却し、反応物圧力を900mbarの圧力まで傾斜させて、光電陰極構造を完成させた。
本明細書において例示的実施形態および特徴を参照して本発明を様々に開示したが、上記に説明した実施形態および特徴は本発明を限定するものではなく、当業者に他の変形、変更および他の実施形態が想定されることは理解できよう。そのため本発明は以下に記載する特許請求の範囲に合わせて広く解釈されるべきものである。
図面の簡単な説明
デルタドープAl0.25Ga0.75N層およびバルクドープ構造に対するホールキャリア濃度の関数としての室温ホール移動度のプロットである(デルタドープ層のホールキャリア濃度は、層厚さで割ったホールシート電荷として規定され、C−Vデータは5〜25オングストロームの範囲の層厚さを示す)。 非ドープHEMT構造の概略図である。 デルタドープHEMT構造の概略図である。 サファイア上の300オングストロームのAl0.30Ga0.70N HEMTに対するホール移動度(cm−1−1)対ホールシート電荷(cm−2)のプロットである。 構造AのI−HEMTデバイス、構造BのデルタドープHEMTデバイス、および構造Cのn−HEMTに対する深さ(μm)の関数としてのC−Vドーピング(cm−3)のプロットである。 構造AのI−HEMTデバイス、構造BのデルタドープHEMTデバイス、および構造Cのn−HEMTに対する電圧(V)の関数としての静電容量(pF)のプロットである。 2合金超格子構造に対するエネルギーバンドギャップ図である。 3合金超格子構造に対するエネルギーバンドギャップ図である。 合金1および合金2におけるn型ドーピングを有する超格子構造に対するエネルギーバンドギャップである。 領域1のみにおけるp型ドーピングを有する超格子構造に対するエネルギーバンドギャップである。 領域1および領域2における異なる濃度でのn型ドーピングを有する超格子構造に対するエネルギーバンドギャップである。 イオン化ドーパント不純物を伴わない合金1に対するエネルギー図である。 領域2内のドーパントから発生した領域1内のキャリアから高導電率が生じる超格子構造に対するエネルギー図である。 高Al含有光電陰極の概略構造である。 本発明の一実施形態によるエピタキシャル膜堆積およびデルタドーピングプロセスのプロセスフローを示す。 図20、22および24はデータドープ高周波電界効果トランジスタ(図20)、対応するデバイスの電流/電圧曲線(図22)および対応するデバイスの静電容量/電圧曲線(図24)を示し、それぞれバルクドープ高周波電界効果トランジスタ(図21)、対応するデバイスの電流/電圧曲線(図23)および対応するデバイスの静電容量/電圧曲線(図25)を示す図21、23および25と比較するように配置されている。 図26はデルタドープコンタクトおよび分離構造の概略図であり、バルクドープコンタクトおよび分離構造の概略図、図27と比較するように配置して、この2つの構造内の注入損傷を示している。 本発明の一実施形態によるデルタドープベースNPNトランジスタの概略図である。 本発明の他の実施形態によるデルタドープへテロ接合バイポーラトランジスタ(HBT)の概略図である。 本発明のさらに他の実施形態によるエミッタ構造の概略図である。 図31はドーピング対深さのプロット、および本発明によりデルタドープされたトンネルドープHEMTデバイスの対応するトンネルエネルギー図であり、ドーピング対深さのプロット、およびバルクドーピングによりドープされたドープHEMTデバイスの対応するトンネルエネルギー図である図32と比較するように配置されている。

Claims (11)

  1. 高電子移動度トランジスタ(HEMT)を含む、III−V族窒化物超小型電子デバイス構造であって、
    小さなバンドギャップを有するIII−V族窒化物材料を含むチャネル層と、
    前記チャネル層に隣接して配置され、前記チャネル層の小さなバンドギャップよりも大きなバンドギャップを有するIII−V族窒化物材料を含み、そして、この大きなバンドギャップ材料内にデルタドープ層を有するバリア層と、
    を含有し、
    前記バリア層は、前記デルタドープ層を有することを除いて実質的に均質であり、
    前記大きなバンドギャップ材料と前記小さいバンドギャップ材料の組み合わせは、両者間の界面に沿ってチャネルを形成するように配置され、前記デルタドープ層は前記チャネル層から30オングストロームと等しいかこれより小さいゼロでない距離だけ離間している、デバイス構造。
  2. 前記バリア層は、前記デルタドープ層の存在以外では非ドープである、請求項1に記載の超小型電子デバイス構造。
  3. 前記バリア層は、非ドープAlGaNを含む、請求項2に記載の超小型電子デバイス構造。
  4. 前記チャネル層は、非ドープGaNを含む、請求項1に記載の超小型電子デバイス構造。
  5. 前記デルタドープ層は、Si、Ge、Mg、C、O、Ca、Zn、Li、Mn、Na、K、Cd、Rb、Sr、ScおよびBeからなる群から選択された少なくとも1つのドーパント種を含む、請求項1に記載の超小型電子デバイス構造。
  6. 前記高電子移動度トランジスタは、AlGaN/GaN高電子移動度トランジスタであり、前記チャネル層はGaNを含み、前記バリア層はAlGaNを含む、請求項1に記載の超小型電子デバイス構造。
  7. 前記デルタドープ層は、前記AlGaN/GaN高電子移動度トランジスタの前記バリア層内で、前記デルタドープ層とチャネル層とが前記デバイス構造の動作における電荷キャリアのトンネル距離未満の距離だけ離間するような前記トランジスタの前記チャネル層に対する位置に配置される、請求項6に記載の超小型電子デバイス構造。
  8. 前記デルタドープ層は、シリコンを含む、請求項1に記載の超小型電子デバイス構造。
  9. サファイア基板と、該基板上のAlNバッファ層と、該AlNバッファ層上のGaN層と、該GaN層上のAlGaN層とを含み、該AlGaN層は内部にデルタドープ層を有し、該AlGaN層は前記デルタドープ層を有することを除いて実質的に均質であり、そして、前記デルタドープ層は前記GaN層から30オングストロームと等しいかこれより小さいゼロでない距離だけ離間している、HEMTデバイス。
  10. 前記デルタドープ層は、Si、Ge、Mg、C、O、Ca、Zn、Li、Mn、Na、K、Cd、Rd、Sr、ScおよびBeからなる群から選択されたドーパントを含む、請求項9に記載のHEMTデバイス。
  11. 前記デルタドープ層は、シリコンドーパントを含む、請求項9に記載のHEMTデバイス。
JP2003581267A 2002-03-25 2003-03-19 ドープiii−v族窒化物材料、ならびにそれを含む超小型電子デバイスおよびデバイス前駆体構造 Expired - Lifetime JP4916090B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/107,001 2002-03-25
US10/107,001 US7919791B2 (en) 2002-03-25 2002-03-25 Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
PCT/US2003/008355 WO2003083950A1 (en) 2002-03-25 2003-03-19 Doped group iii-v nitride materials, and microelectronic devices and device precursor structures comprising same

Publications (3)

Publication Number Publication Date
JP2005526384A JP2005526384A (ja) 2005-09-02
JP2005526384A5 JP2005526384A5 (ja) 2008-05-22
JP4916090B2 true JP4916090B2 (ja) 2012-04-11

Family

ID=28040971

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003581267A Expired - Lifetime JP4916090B2 (ja) 2002-03-25 2003-03-19 ドープiii−v族窒化物材料、ならびにそれを含む超小型電子デバイスおよびデバイス前駆体構造

Country Status (9)

Country Link
US (1) US7919791B2 (ja)
EP (1) EP1488460B1 (ja)
JP (1) JP4916090B2 (ja)
KR (1) KR20040104959A (ja)
CN (1) CN100375292C (ja)
AU (1) AU2003224709A1 (ja)
CA (1) CA2479657A1 (ja)
TW (1) TW200306016A (ja)
WO (1) WO2003083950A1 (ja)

Families Citing this family (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003094240A1 (en) 2002-04-30 2003-11-13 Cree, Inc. High voltage switching devices and process for forming same
US7112830B2 (en) * 2002-11-25 2006-09-26 Apa Enterprises, Inc. Super lattice modification of overlying transistor
JP4469139B2 (ja) * 2003-04-28 2010-05-26 シャープ株式会社 化合物半導体fet
KR100616516B1 (ko) * 2003-12-18 2006-08-29 삼성전기주식회사 질화갈륨계 반도체 발광소자 및 그 제조방법
US20050133816A1 (en) * 2003-12-19 2005-06-23 Zhaoyang Fan III-nitride quantum-well field effect transistors
JP4801325B2 (ja) * 2004-04-08 2011-10-26 パナソニック株式会社 Iii−v族窒化物半導体を用いた半導体装置
US7514759B1 (en) * 2004-04-19 2009-04-07 Hrl Laboratories, Llc Piezoelectric MEMS integration with GaN technology
JP4682541B2 (ja) * 2004-06-15 2011-05-11 豊田合成株式会社 半導体の結晶成長方法
KR100616619B1 (ko) * 2004-09-08 2006-08-28 삼성전기주식회사 질화물계 이종접합 전계효과 트랜지스터
US7860137B2 (en) 2004-10-01 2010-12-28 Finisar Corporation Vertical cavity surface emitting laser with undoped top mirror
CN101432936B (zh) * 2004-10-01 2011-02-02 菲尼萨公司 具有多顶侧接触的垂直腔面发射激光器
EP1805805A4 (en) * 2004-10-08 2011-05-04 Univ California HIGH POWER LEDS
GB0424957D0 (en) * 2004-11-11 2004-12-15 Btg Int Ltd Methods for fabricating semiconductor devices and devices fabricated thereby
JP2006190988A (ja) * 2004-12-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置
KR100661709B1 (ko) * 2004-12-23 2006-12-26 엘지이노텍 주식회사 질화물 반도체 발광소자 및 그 제조방법
KR100662191B1 (ko) * 2004-12-23 2006-12-27 엘지이노텍 주식회사 질화물 반도체 발광소자 및 그 제조방법
KR100580751B1 (ko) * 2004-12-23 2006-05-15 엘지이노텍 주식회사 질화물 반도체 발광소자 및 그 제조방법
KR100580752B1 (ko) 2004-12-23 2006-05-15 엘지이노텍 주식회사 질화물 반도체 발광소자 및 그 제조방법
US7525248B1 (en) 2005-01-26 2009-04-28 Ac Led Lighting, L.L.C. Light emitting diode lamp
KR100631971B1 (ko) * 2005-02-28 2006-10-11 삼성전기주식회사 질화물 반도체 발광 소자
JP2006253224A (ja) * 2005-03-08 2006-09-21 Toyota Central Res & Dev Lab Inc 半導体装置とその製造方法
US8272757B1 (en) 2005-06-03 2012-09-25 Ac Led Lighting, L.L.C. Light emitting diode lamp capable of high AC/DC voltage operation
EP1916704A4 (en) * 2005-08-05 2011-06-08 Sekisui Chemical Co Ltd METHOD FOR FORMING GROUP III NITRIDE FILMS SUCH AS GALLIUM NITRIDE
KR100679235B1 (ko) * 2005-12-07 2007-02-06 한국전자통신연구원 반도체 발광소자 및 그 제조방법
CN100435281C (zh) * 2006-01-17 2008-11-19 北京大学 制备GaN基稀磁半导体材料的方法
KR100809243B1 (ko) * 2006-04-27 2008-02-29 삼성전기주식회사 질화물막 제조방법 및 질화물 구조
PL1883119T3 (pl) * 2006-07-27 2016-04-29 Osram Opto Semiconductors Gmbh Półprzewodnikowa struktura warstwowa z supersiecią
DE102006046227A1 (de) * 2006-07-27 2008-01-31 Osram Opto Semiconductors Gmbh Halbleiter-Schichtstruktur mit Übergitter
EP1883141B1 (de) * 2006-07-27 2017-05-24 OSRAM Opto Semiconductors GmbH LD oder LED mit Übergitter-Mantelschicht
EP1883140B1 (de) * 2006-07-27 2013-02-27 OSRAM Opto Semiconductors GmbH LD oder LED mit Übergitter-Mantelschicht und Dotierungsgradienten
GB2444279A (en) * 2006-11-30 2008-06-04 Bookham Technology Plc Optoelectronic device
CN100438083C (zh) * 2006-12-23 2008-11-26 厦门大学 δ掺杂4H-SiC PIN结构紫外光电探测器及其制备方法
US20090072269A1 (en) * 2007-09-17 2009-03-19 Chang Soo Suh Gallium nitride diodes and integrated components
TWI485642B (zh) * 2008-02-26 2015-05-21 Epistar Corp 光電元件之客製化製造方法
US8519438B2 (en) 2008-04-23 2013-08-27 Transphorm Inc. Enhancement mode III-N HEMTs
JP2009289826A (ja) * 2008-05-27 2009-12-10 Toyota Central R&D Labs Inc へテロ接合を有する半導体装置とその製造方法
US8289065B2 (en) 2008-09-23 2012-10-16 Transphorm Inc. Inductive load power switching circuits
US7898004B2 (en) 2008-12-10 2011-03-01 Transphorm Inc. Semiconductor heterostructure diodes
US8742459B2 (en) * 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US8390000B2 (en) * 2009-08-28 2013-03-05 Transphorm Inc. Semiconductor devices with field plates
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8592309B2 (en) * 2009-11-06 2013-11-26 Ultratech, Inc. Laser spike annealing for GaN LEDs
US8658451B2 (en) 2009-11-06 2014-02-25 Ultratech, Inc. Activating GaN LEDs by laser spike annealing and flash annealing
US9634183B2 (en) * 2009-12-04 2017-04-25 Sensor Electronic Technology, Inc. Semiconductor material doping
US9287442B2 (en) * 2009-12-04 2016-03-15 Sensor Electronic Technology, Inc. Semiconductor material doping
US8426225B2 (en) * 2009-12-04 2013-04-23 Sensor Electronic Technology, Inc. Semiconductor material doping based on target valence band discontinuity
US10497829B2 (en) 2009-12-04 2019-12-03 Sensor Electronic Technology, Inc. Semiconductor material doping
US9368580B2 (en) 2009-12-04 2016-06-14 Sensor Electronic Technology, Inc. Semiconductor material doping
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
US8536615B1 (en) 2009-12-16 2013-09-17 Cree, Inc. Semiconductor device structures with modulated and delta doping and related methods
US8604461B2 (en) 2009-12-16 2013-12-10 Cree, Inc. Semiconductor device structures with modulated doping and related methods
KR20130007557A (ko) 2010-01-27 2013-01-18 예일 유니버시티 GaN 소자의 전도도 기반 선택적 에칭 및 그의 응용
US8558234B2 (en) * 2010-02-11 2013-10-15 California Institute Of Technology Low voltage low light imager and photodetector
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
JP5548904B2 (ja) * 2010-08-30 2014-07-16 古河電気工業株式会社 窒化物系化合物半導体および窒化物系化合物半導体素子
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
JP5569321B2 (ja) * 2010-10-07 2014-08-13 住友電気工業株式会社 半導体装置およびその製造方法
JP2013546181A (ja) * 2010-10-28 2013-12-26 ユニバーシティ オブ ユタ リサーチ ファウンデーション Iii−v族半導体におけるp型ドーピングを強化する方法
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8742460B2 (en) 2010-12-15 2014-06-03 Transphorm Inc. Transistors with isolation regions
US8643062B2 (en) 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
SG183608A1 (en) * 2011-03-02 2012-09-27 Soitec Silicon On Insulator Methods of forming iii/v semiconductor materials, and semiconductor structures formed using such methods
US8148252B1 (en) 2011-03-02 2012-04-03 S.O.I. Tec Silicon On Insulator Technologies Methods of forming III/V semiconductor materials, and semiconductor structures formed using such methods
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8716141B2 (en) 2011-03-04 2014-05-06 Transphorm Inc. Electrode configurations for semiconductor devices
US8772842B2 (en) 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
JP5361925B2 (ja) * 2011-03-08 2013-12-04 株式会社東芝 半導体発光素子およびその製造方法
TW201238043A (en) * 2011-03-11 2012-09-16 Chi Mei Lighting Tech Corp Light-emitting diode device and method for manufacturing the same
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8354689B2 (en) * 2011-04-28 2013-01-15 Palo Alto Research Center Incorporated Light emitting devices having dopant front loaded tunnel barrier layers
CN102254779B (zh) * 2011-05-10 2012-12-26 中国电子科技集团公司第五十五研究所 无需Cs激活的异质结型GaN负电子亲和势光电阴极
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8803242B2 (en) * 2011-09-19 2014-08-12 Eta Semiconductor Inc. High mobility enhancement mode FET
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
JP2013120936A (ja) 2011-12-07 2013-06-17 Ultratech Inc パターン効果を低減したGaNLEDのレーザーアニール
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9165766B2 (en) 2012-02-03 2015-10-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
JP5874495B2 (ja) * 2012-03-29 2016-03-02 豊田合成株式会社 Gaを含むIII族窒化物半導体の製造方法
CN103367417A (zh) * 2012-03-31 2013-10-23 稳懋半导体股份有限公司 三族氮化物高电子迁移率晶体管
WO2013155108A1 (en) 2012-04-09 2013-10-17 Transphorm Inc. N-polar iii-nitride transistors
KR20130139707A (ko) * 2012-06-13 2013-12-23 삼성전자주식회사 반도체 소자 및 이에 사용되는 초격자층
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9184275B2 (en) 2012-06-27 2015-11-10 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9583353B2 (en) * 2012-06-28 2017-02-28 Yale University Lateral electrochemical etching of III-nitride materials for microfabrication
KR101376976B1 (ko) * 2012-06-29 2014-03-21 인텔렉추얼디스커버리 주식회사 반도체 발광 디바이스
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
JP6002508B2 (ja) * 2012-09-03 2016-10-05 住友化学株式会社 窒化物半導体ウェハ
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN102903615B (zh) * 2012-10-18 2018-02-06 中山大学 一种p型GaN与AlGaN半导体材料的制备方法
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9818907B2 (en) * 2013-01-23 2017-11-14 Ushio Denki Kabushiki Kaisha LED element
WO2014127150A1 (en) 2013-02-15 2014-08-21 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9087718B2 (en) 2013-03-13 2015-07-21 Transphorm Inc. Enhancement-mode III-nitride devices
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9245992B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
WO2015009514A1 (en) 2013-07-19 2015-01-22 Transphorm Inc. Iii-nitride transistor including a p-type depleting layer
US9041060B2 (en) 2013-07-25 2015-05-26 International Business Machines Corporation III-V FET device with overlapped extension regions using gate last
US9443728B2 (en) * 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
WO2015029578A1 (ja) * 2013-08-27 2015-03-05 富士電機株式会社 半導体装置の製造方法および半導体装置
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US20150137179A1 (en) * 2013-11-19 2015-05-21 Huga Optotech Inc. Power device
US9640650B2 (en) * 2014-01-16 2017-05-02 Qorvo Us, Inc. Doped gallium nitride high-electron mobility transistor
US11095096B2 (en) 2014-04-16 2021-08-17 Yale University Method for a GaN vertical microcavity surface emitting laser (VCSEL)
WO2015181648A1 (en) 2014-05-27 2015-12-03 The Silanna Group Pty Limited An optoelectronic device
JP6636459B2 (ja) 2014-05-27 2020-01-29 シランナ・ユー・ブイ・テクノロジーズ・プライベート・リミテッドSilanna Uv Technologies Pte Ltd 半導体構造と超格子とを用いた高度電子デバイス
WO2015181656A1 (en) 2014-05-27 2015-12-03 The Silanna Group Pty Limited Electronic devices comprising n-type and p-type superlattices
US11322643B2 (en) 2014-05-27 2022-05-03 Silanna UV Technologies Pte Ltd Optoelectronic device
US9318593B2 (en) 2014-07-21 2016-04-19 Transphorm Inc. Forming enhancement mode III-nitride devices
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
DE102014111058A1 (de) * 2014-08-04 2016-02-04 Osram Opto Semiconductors Gmbh Optoelektronisches Bauelement und Verfahren zur Herstellung
US9231064B1 (en) 2014-08-12 2016-01-05 Raytheon Company Double heterojunction group III-nitride structures
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
JP7016259B6 (ja) 2014-09-30 2023-12-15 イェール ユニバーシティー 多孔質窒化ガリウム層およびそれを含む半導体発光デバイス
US9876143B2 (en) 2014-10-01 2018-01-23 Rayvio Corporation Ultraviolet light emitting device doped with boron
US11018231B2 (en) 2014-12-01 2021-05-25 Yale University Method to make buried, highly conductive p-type III-nitride layers
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
US9580304B2 (en) * 2015-05-07 2017-02-28 Texas Instruments Incorporated Low-stress low-hydrogen LPCVD silicon nitride
WO2016187421A1 (en) 2015-05-19 2016-11-24 Yale University A method and device concerning iii-nitride edge emitting laser diode of high confinement factor with lattice matched cladding layer
TWI552948B (zh) * 2015-06-05 2016-10-11 環球晶圓股份有限公司 半導體元件
CN105070801B (zh) * 2015-08-18 2018-03-06 西安电子科技大学 非Si掺杂无InGaN黄光LED材料及其制作方法
CN105429001B (zh) * 2015-10-27 2019-06-25 西安电子科技大学 Si/Ge超晶格量子级联激光器及其制备方法
WO2017079168A1 (en) * 2015-11-04 2017-05-11 The Regents Of The University Of California Iii-nitride tunnel junction with modified p-n interface
US9941363B2 (en) 2015-12-18 2018-04-10 International Business Machines Corporation III-V transistor device with self-aligned doped bottom barrier
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator
CN107230709A (zh) * 2016-03-25 2017-10-03 北京大学 AlGaN/GaN MIS-HEMT的制作方法
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
JP7019942B2 (ja) * 2016-09-28 2022-02-16 富士通株式会社 化合物半導体基板及びその製造方法、化合物半導体装置及びその製造方法、電源装置、高出力増幅器
TWI762467B (zh) * 2017-02-22 2022-05-01 晶元光電股份有限公司 氮化物半導體磊晶疊層結構及其功率元件
WO2019010173A1 (en) * 2017-07-07 2019-01-10 Skyworks Solutions, Inc. SUBSTITUTED ALUMINUM NITRIDE FOR ENHANCED ACOUSTIC WAVE FILTERS
US10916424B2 (en) * 2017-12-05 2021-02-09 King Abdullah University Of Science And Technology Methods for forming graded wurtzite III-nitride alloy layers
JP6668316B2 (ja) * 2017-12-25 2020-03-18 株式会社サイオクス 窒化物半導体積層物および半導体装置
WO2019227100A1 (en) * 2018-05-25 2019-11-28 The Regents Of The University Of Michigan Enhanced doping efficiency of ultrawide bandgap semiconductors by metal-semiconductor assisted epitaxy
CN108899403B (zh) * 2018-07-20 2020-09-22 西安电子科技大学 基于ScAlN/AlGaN超晶格p型层的高效发光二极管及制备方法
CN109742203A (zh) * 2019-01-14 2019-05-10 江西兆驰半导体有限公司 一种氮化物发光二极管
CN110364606A (zh) * 2019-07-26 2019-10-22 佛山市国星半导体技术有限公司 一种紫外发光二极管外延结构及其制作方法
CN112522781B (zh) * 2021-02-18 2021-04-23 中芯集成电路制造(绍兴)有限公司 碳化硅衬底上的缓冲层及其形成方法
CN113555462B (zh) * 2021-07-05 2023-01-17 浙江芯科半导体有限公司 一种双结型Ga2O3器件及其制备方法
CN114000199B (zh) * 2021-08-27 2023-01-31 深圳市汇芯通信技术有限公司 单晶氮化铝薄膜及其制作方法、体声波滤波器的制作方法
CN114094976B (zh) * 2022-01-24 2022-04-15 湖南大学 一种氮化铝薄膜及其制备方法和薄膜体声波滤波器

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0841704A1 (en) * 1996-11-07 1998-05-13 Paul-Drude-Institut für Festkörperelektronik Semiconductor transistor device and method of manufacturing the same
JPH10284507A (ja) * 1997-04-04 1998-10-23 Matsushita Electric Ind Co Ltd 半導体装置
JP2000138368A (ja) * 1998-10-29 2000-05-16 Hitachi Cable Ltd Iii−v族化合物半導体の気相成長方法及び高電子移動度トランジスタの製造方法
JP2000323704A (ja) * 1999-05-13 2000-11-24 Nippon Telegr & Teleph Corp <Ntt> 電界効果トランジスタ
JP2001077353A (ja) * 1999-06-30 2001-03-23 Toshiba Corp 高電子移動度トランジスタ及び電力増幅器
JP2001274375A (ja) * 2000-03-28 2001-10-05 Nec Corp ヘテロ接合電界効果トランジスタ
JP2001284576A (ja) * 2000-03-30 2001-10-12 Toshiba Corp 高電子移動度トランジスタ及びその製造方法
EP1172859A2 (en) * 2000-07-14 2002-01-16 TRW Inc. Partially relaxed channel HEMT device

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5060234A (en) * 1984-11-19 1991-10-22 Max-Planck Gesellschaft Zur Forderung Der Wissenschaften Injection laser with at least one pair of monoatomic layers of doping atoms
US4780748A (en) * 1986-06-06 1988-10-25 American Telephone & Telegraph Company, At&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
US5965931A (en) * 1993-04-19 1999-10-12 The Board Of Regents Of The University Of California Bipolar transistor having base region with coupled delta layers
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JP3412128B2 (ja) 1997-03-06 2003-06-03 株式会社島津機械製作所 自動巻き寿司製造装置
US5831277A (en) * 1997-03-19 1998-11-03 Northwestern University III-nitride superlattice structures
CN1131548C (zh) * 1997-04-04 2003-12-17 松下电器产业株式会社 半导体装置
JPH10294452A (ja) 1997-04-22 1998-11-04 Sony Corp ヘテロ接合電界効果トランジスタ
JPH10335637A (ja) * 1997-05-30 1998-12-18 Sony Corp ヘテロ接合電界効果トランジスタ
US6316793B1 (en) * 1998-06-12 2001-11-13 Cree, Inc. Nitride based transistors on semi-insulating silicon carbide substrates
JP3609661B2 (ja) * 1999-08-19 2005-01-12 株式会社東芝 半導体発光素子
US6342411B1 (en) * 1999-09-03 2002-01-29 Motorola Inc. Electronic component and method for manufacture
US6498360B1 (en) * 2000-02-29 2002-12-24 University Of Connecticut Coupled-well structure for transport channel in field effect transistors
JP3527496B2 (ja) 2000-03-03 2004-05-17 松下電器産業株式会社 半導体装置
JP4186032B2 (ja) * 2000-06-29 2008-11-26 日本電気株式会社 半導体装置
JP4022708B2 (ja) * 2000-06-29 2007-12-19 日本電気株式会社 半導体装置
US6992319B2 (en) * 2000-07-18 2006-01-31 Epitaxial Technologies Ultra-linear multi-channel field effect transistor
JP2002057158A (ja) * 2000-08-09 2002-02-22 Sony Corp 絶縁性窒化物層及びその形成方法、半導体装置及びその製造方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6849882B2 (en) * 2001-05-11 2005-02-01 Cree Inc. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0841704A1 (en) * 1996-11-07 1998-05-13 Paul-Drude-Institut für Festkörperelektronik Semiconductor transistor device and method of manufacturing the same
JPH10284507A (ja) * 1997-04-04 1998-10-23 Matsushita Electric Ind Co Ltd 半導体装置
JP2000138368A (ja) * 1998-10-29 2000-05-16 Hitachi Cable Ltd Iii−v族化合物半導体の気相成長方法及び高電子移動度トランジスタの製造方法
JP2000323704A (ja) * 1999-05-13 2000-11-24 Nippon Telegr & Teleph Corp <Ntt> 電界効果トランジスタ
JP2001077353A (ja) * 1999-06-30 2001-03-23 Toshiba Corp 高電子移動度トランジスタ及び電力増幅器
JP2001274375A (ja) * 2000-03-28 2001-10-05 Nec Corp ヘテロ接合電界効果トランジスタ
JP2001284576A (ja) * 2000-03-30 2001-10-12 Toshiba Corp 高電子移動度トランジスタ及びその製造方法
EP1172859A2 (en) * 2000-07-14 2002-01-16 TRW Inc. Partially relaxed channel HEMT device

Also Published As

Publication number Publication date
CN1643696A (zh) 2005-07-20
EP1488460B1 (en) 2013-01-02
WO2003083950A1 (en) 2003-10-09
AU2003224709A1 (en) 2003-10-13
JP2005526384A (ja) 2005-09-02
CN100375292C (zh) 2008-03-12
KR20040104959A (ko) 2004-12-13
US7919791B2 (en) 2011-04-05
EP1488460A4 (en) 2008-02-20
EP1488460A1 (en) 2004-12-22
US20030178633A1 (en) 2003-09-25
CA2479657A1 (en) 2003-10-09
TW200306016A (en) 2003-11-01

Similar Documents

Publication Publication Date Title
JP4916090B2 (ja) ドープiii−v族窒化物材料、ならびにそれを含む超小型電子デバイスおよびデバイス前駆体構造
JP4660733B2 (ja) 縦型デバイスのための裏面オーミックコンタクトの低温形成
US5432808A (en) Compound semicondutor light-emitting device
US7709284B2 (en) Method for deposition of magnesium doped (Al, In, Ga, B)N layers
KR100448662B1 (ko) 질화물반도체소자 및 그 제조방법
US6720570B2 (en) Gallium nitride-based semiconductor light emitting device
JP5150802B2 (ja) 窒化物基半導体デバイスのための低ドープ層
JP2003037289A (ja) 低駆動電圧のiii族窒化物発光素子
JP3408413B2 (ja) 半導体の製造方法及び半導体装置
JPH09199758A (ja) 低抵抗p型窒化ガリウム系化合物半導体の気相成長方法
US20160079370A1 (en) Semiconductor device, semiconductor wafer, and semiconductor device manufacturing method
WO2009026749A1 (en) Method for fabricating a low-resistivity ohmic contact to a p-type iii-v nitride semiconductor material at low temperature
US20080090395A1 (en) Method for producing p-type group III nitride semiconductor and method for producing electrode for p-type group III nitride semiconductor
US8431936B2 (en) Method for fabricating a p-type semiconductor structure
US8148732B2 (en) Carbon-containing semiconductor substrate
US20050179046A1 (en) P-type electrodes in gallium nitride-based light-emitting devices
KR101337615B1 (ko) 질화갈륨계 화합물 반도체 및 그 제조방법
JP2005136162A (ja) 化合物半導体素子の製造方法
KR101309767B1 (ko) 질화물 반도체 발광 소자 및 그 제조방법
JP3592922B2 (ja) 化合物半導体基板
US20080061302A1 (en) Light emitting diode and method of fabricating the same
KR20150133622A (ko) 발광 소자 및 그 제조 방법
FR3136111A1 (fr) Composant électronique à base de nitrure de galium dope p
CN117096231A (zh) Led外延结构及其制备方法
CN117117047A (zh) 发光二极管及其制备方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080401

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100301

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100601

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100608

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100701

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100819

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100824

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111116

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20111121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111226

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120124

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150203

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4916090

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term