JP4250006B2 - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP4250006B2
JP4250006B2 JP2003076962A JP2003076962A JP4250006B2 JP 4250006 B2 JP4250006 B2 JP 4250006B2 JP 2003076962 A JP2003076962 A JP 2003076962A JP 2003076962 A JP2003076962 A JP 2003076962A JP 4250006 B2 JP4250006 B2 JP 4250006B2
Authority
JP
Japan
Prior art keywords
film
barrier metal
pattern
interlayer insulating
metal film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003076962A
Other languages
English (en)
Other versions
JP2004064046A (ja
JP2004064046A5 (ja
Inventor
健一 渡邉
通有 河野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2003076962A priority Critical patent/JP4250006B2/ja
Priority to KR1020030035584A priority patent/KR100930556B1/ko
Priority to US10/454,667 priority patent/US7119439B2/en
Priority to TW092115253A priority patent/TWI296434B/zh
Priority to CNB031424244A priority patent/CN1290186C/zh
Publication of JP2004064046A publication Critical patent/JP2004064046A/ja
Priority to US10/948,569 priority patent/US7241676B2/en
Publication of JP2004064046A5 publication Critical patent/JP2004064046A5/ja
Application granted granted Critical
Publication of JP4250006B2 publication Critical patent/JP4250006B2/ja
Priority to KR1020090067257A priority patent/KR100964263B1/ko
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

【0001】
【発明の属する技術分野】
本発明は一般に半導体装置及びその製造方法に関し、特に耐湿リングを備えた半導体装置及びその製造方法に関する。
【0002】
今日の半導体装置では、微細化・高集積化に伴い共通基板上に多数の半導体素子を相互接続するために、複数の層間絶縁膜を積層し、各々の層間絶縁膜中に配線パターンを埋設した多層配線構造が使用されることが多い。このような半導体装置では、前記多層配線構造を構成する層間絶縁膜の界面に沿って、水分あるいは腐食性ガスが半導体装置内部へ侵入することがあるため、前記多層配線構造中に、基板周辺部に沿って耐湿リングを形成し、水分や腐食性ガスの浸入を阻止することが一般的に行われている。
【0003】
【従来の技術】
今日の半導体装置では、微細化・高集積化にともないデザインルールは年々縮小されており、メタル配線材料を直接エッチングで形成する方法に技術的な限界が生じ始めている。そこで、先に層間絶縁膜に配線パターン、ビアコンタクト、あるいは配線パターンとビアコンタクトの両方をフォトリソグラフィー法で形成し、その後、配線材料を埋め込み、CMP(化学機械研磨)技術を用いて研磨を行い、配線パターン、ビアコンタクトを形成するダマシン法が利用され始めている。
【0004】
特に、最近のサブミクロンあるいはサブクォータミクロンサイズの半導体装置を含む超微細化半導体装置では、かかる多層配線構造中において生じる信号遅延を回避するために、導体パターンとしての低抵抗のCu(銅)を低誘電率の有機層間絶縁膜と組み合わせて使うことが行われている。その際、Cuは従来から導電パターンに使われてきたAlやW等と異なりドライエッチングが困難であるため、先に層間絶縁膜中に配線溝および接続孔を形成しておき、先に説明したような、かかる配線溝及び接続孔を埋めるようにCu層を電解めっき法等により堆積するデュアルダマシン法が使われることが多い。
【0005】
一般的に、ダマシン法を用いる場合、Cuの拡散防止と配線パターンや接続孔の深さ方向の制御性向上のために、下層層間絶縁膜と上層層間絶縁膜との間にストッパー兼拡散防止膜を形成する。
【0006】
図1は、従来の多層配線構造例を示す。
図1を参照するに、絶縁膜10およびビアコンタクトプラグ70を担持した半導体基板60上に回路素子4を形成後に、層間絶縁膜11を成膜し、前記層間絶縁膜11上に図示していないフォトレジスト膜を塗布し、これをさらに露光、現像して前記フォトレジスト膜を配線パターン41の形状にパターニングする。その後、このように形成されたレジストパターン(図示せず)をマスクに、層間絶縁膜11に形成したい配線パターン41に対応した形状のエッチングを行い、配線パターン41内部にバリアメタル膜31を形成する。さらに配線パターン41をその上に埋め込む。その後CMP法を用いて研磨を行い、配線パターン41を形成する。このような方法をシングルダマシン法と称する。なお、ビアコンタクトは、ビアホール内壁面を覆う密着膜70bと密着膜70b上に形成された金属膜70aとよりなる。
【0007】
配線パターン41の形成後に、ストッパー膜兼拡散防止膜21と、層間絶縁膜12と、エッチングストッパー膜22と、層間絶縁膜13とを順に形成し、その後、図示していないレジストを層間絶縁膜13上において形成したいビアパターン51の形状に露光現像し、形成されたレジストパターンをマスクに、ストッパー膜兼拡散防止膜21の上面が露出するまでエッチングを行う。次に、図示していない別のフォトレジスト膜を塗布し、露光現像して、配線パターン42に対応したレジストパターンを形成する。その後、前記レジストパターンをマスクにして層間絶縁膜13を層間絶縁膜12の上面が露出されるまでエッチングする。
【0008】
この時、同時にビアパターン51の底部は、配線パターン41の上面までエッチングされる。更に、配線パターン42内部とビアパターン51内部に、バリアメタル膜32を形成し、配線パターン42用材料をさらに埋め込み、その後、CMP法を用いて研磨を行い、ビアパターン51と配線パターン42を形成する。このような方法をデュアルダマシン法と称する。
【0009】
通常、LSI等の半導体装置の製造では、多数の半導体装置を一時に形成するウエハプロセスの後、ダイシング工程において、各半導体装置を画成するスクライブラインに沿ってウエハを切断し、半導体ウエハは個々の半導体装置あるいはチップに分割される。
【0010】
図2は、従来の半導体装置の上面図を示している。また、図2中の一点鎖線3はダイシングされる部分を示しており、ダイシングは半導体装置1の外周部に沿って行われる。
【0011】
図2を参照するに、半導体装置1にはダイシング時のクラック発生防止及び、半導体装置1内部への水分等の侵入を防ぎ、半導体装置1の歩留まり低下を防ぐための耐湿リング2が、半導体装置1の外周部を連続して囲むように形成されている。
【0012】
かかるクラック及び水分などの浸入を防ぐ方法として、例えば、特開2001−53148号公報には、図3に示す構造およびプロセスが開示されている。
【0013】
図3は、従来の耐湿リング格造を示す。
【0014】
図3を参照するに、回路部の配線パターンやビアコンタクトの形成時に、半導体基板501上に、層間絶縁膜531の形成後、密着層(図示せず)とWとからなるコンタクトパターン521を形成する。次に、図示していないTiN/Ti層で上下をはさまれたAlCu層からなる配線パターン541を、コンタクトパターン521の上部に形成する。続いて、層間絶縁膜532を形成後に、配線パターン541の両端部において、外側にオフセットするように密着層(図示せず)とWとからなるビアパターン522を、層間絶縁膜531の上面まで形成する。
【0015】
続いて、ビアパターン522の直上に配線パターン542を加工し、層間絶縁膜533を形成後、ビアパターン522形成時と同じ手法を用いて、配線パターン542の両端部において、外側にオフセットするように密着層(図示せず)とWとからなるビアパターン523を形成する。さらに、前記半導体基板501の上面から最上層の層間絶縁膜533の下面に到る全体を、水分等に耐性のあるTiN膜等で覆い、バリア構造を形成する。
図3の構造では、密着性に優れたメタルパターンを積層することにより、効果的に耐湿リングを形成することができる。しかし、この方法を用いるためには配線材料がAl−Cuのようなエッチング可能な材料に限られる。ダマシン法により形成する必要があるCu配線パターンを含む多層配線構造では、この方法は適用できない。また、このような構造は形成されない。
【0016】
【発明が解決しようとする課題】
図1に示すこのような従来のデュアルダマシン構造では、配線パターン41上にビアパターン51と接していない領域がスクライブライン側80に存在し、前記領域では配線パターン41の表面にストッパー兼拡散防止膜21が接している。一般的には、ストッパー兼拡散防止膜21にはSiNやSiC膜等の絶縁膜が用いられるが、前記絶縁膜と配線パターン41に用いられる金属膜との密着性は悪いため、前記絶縁膜と配線パターン41の界面のクラックの阻止や、水分等が浸入した場合の耐湿性が保証できないという問題点がある。
【0017】
また、図1に示すようなデュアルダマシン構造では、配線材料にCuが用いられるが、Cuはエッチングによってパターニングが困難なため、先に述べたように、図3に示した方法は適用できない、
【0018】
そこで本発明は、上記実状に鑑みてされたもので、クラック防止に加えて水分の浸入等も好適に防止することのできる半導体装置及びその製造方法を提供することを目的とする。
【0019】
【課題を解決するための手段】
本発明は、半導体基板と、前記半導体基板上方に形成される第1の層間絶縁膜、前記第1の層間絶縁膜中に形成される第1の導体パターン、前記第1の層間絶縁膜と前記第1の導体パターンとの間に、少なくとも前記第1の導体パターンの側面を覆うように形成される第1のバリアメタル膜、前記第1の層間絶縁膜上に形成される第2の層間絶縁膜、前記第2の層間絶縁膜を介して前記第1の導体パターンの上方に形成される第2の導体パターン、前記第1の導体パターンと前記第2の導体パターンとを接続するために前記第2の層間絶縁膜中に形成されるビアコンタクト部、並びに、前記ビアコンタクト部の側面及び底面を覆うように形成される第2のバリアメタル膜を含む配線構造とを備え、前記配線構造は、耐湿リングであり、前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を覆う前記第2のバリアメタル膜の底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接して形成され、前記第2のバリアメタル膜は、その側面が前記第1のバリアメタル膜の側面から当該半導体装置の外側にずれた位置に形成されることを特徴とする半導体装置により、上記課題を解決する。
【0020】
また、本発明は、耐湿リングの配線構造を含む半導体装置の製造方法であって、前記配線構造を形成するための工程として、半導体基板上に第1の層間絶縁膜を形成する工程と、前記第1の層間絶縁膜内に、第1の導体パターン、並びに、前記第1の層間絶縁膜及び前記第1の導体パターン間において少なくとも前記第1の導体パターンの側面を被覆する第1のバリアメタル膜を形成するための第1の開口部を形成する工程と、前記第1の導体パターン及び前記第1のバリアメタル膜を前記第1の開口部内に形成する工程と、前記第1の層間絶縁膜上に第2の層間絶縁膜を形成する工程と、前記第2の層間絶縁膜上に第3の層間絶縁膜を形成する工程と、前記第2の層間絶縁膜及び前記第3の層間絶縁膜内に、前記第1の導体パターンと前記第1の導体パターンの上方に形成される第2の導体パターンとを接続するためのビアコンタクト部、並びに、前記ビアコンタクト部の側面及び底面を被覆する第2のバリアメタル膜を形成するための第2の開口部を形成するとともに、前記第2の導体パターンを形成するための第3の開口部を形成する工程と、前記ビアコンタクト部及び前記第2のバリアメタル膜を前記第2の開口部内に形成するとともに、前記第2の導体パターンを前記第3の開口部内に形成する工程とを含み、前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を被覆する前記第2のバリアメタル膜の前記底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接するように前記配線構造を形成するとともに、前記第2のバリアメタル膜の側面が前記第1のバリアメタル膜の側面から当該半導体装置の外側にずれた位置となるように前記配線構造を形成することを特徴とする半導体装置の製造方法により、上記課題を解決する。
また、本発明は、耐湿リングの配線構造を含む半導体装置の製造方法であって、前記配線構造を形成するための工程として、半導体基板上に第1の層間絶縁膜を形成する工程と、前記第1の層間絶縁膜内に、第1の導体パターン、並びに、前記第1の層間絶縁膜及び前記第1の導体パターン間において少なくとも前記第1の導体パターンの側面を被覆する第1のバリアメタル膜を形成するための第1の開口部を形成する工程と、前記第1の導体パターン及び前記第1のバリアメタル膜を前記第1の開口部内に形成する工程と、前記第1の層間絶縁膜上に第2の層間絶縁膜を形成する工程と、前記第2の層間絶縁膜内に、前記第1の導体パターンと前記第1の導体パターンの上方に形成される第2の導体パターンとを接続するためのビアコンタクト部、並びに、前記ビアコンタクト部の側面及び底面を被覆する第2のバリアメタル膜を形成するための第2の開口部を形成する工程と、前記ビアコンタクト部及び前記第2のバリアメタル膜を前記第2の開口部内に形成する工程と、前記第2の層間絶縁膜上に第3の層間絶縁膜を形成する工程と、前記第3の層間絶縁膜内に、前記第2の導体パターンを形成するための第3の開口部を形成する工程と、前記第2の導体パターンを前記第3の開口部内に形成する工程とを含み、前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を被覆する前記第2のバリアメタル膜の前記底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接するように前記配線構造を形成するとともに、前記第2のバリアメタル膜の側面が前記第1のバリアメタル膜の側面から当該半導体装置の外側にずれた位置となるように前記配線構造を形成することを特徴とする半導体装置の製造方法により、上記課題を解決する。
【0021】
上記のように、本発明の半導体装置、及び、本発明の半導体装置の製造方法によって製造される半導体装置は、前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を覆うバリアメタル底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接するように構成される。従って、本発明によれば、第1のバリアメタル膜の上端部と上層との密着性が強化され、前記第1のバリアメタル膜の上端部と前記バリアメタル底面部分との接続部を境に水分等の侵入を防止することが可能となり、第1のバリアメタル膜の内側に形成される第1の導体パターンが腐食してしまうこと等の問題が解消される。
【0022】
【発明の実施の形態】
以下、図面に基づいて本発明の実施形態を説明する。
(第1の実施形態)
図4(A)〜図15(B)は、デュアルダマシン法を用いたときの本発明の第1実施形態による耐湿リングの製造工程を示す。図中、左側はスクラブライン側80を示しており、右側は半導体装置内部側90を示している。また、図4(A)中のレジスト窓131aのように、壁を示すことによって空間全体を表すこととする。
【0023】
コンタクト形成用フォトレジスト膜パターニングの工程:
図4(A)を参照するに、図示していない回路素子を半導体基板上101に形成した後、半導体基板上101上に、シリコン窒化膜111と、シリコン酸化膜121とを、それぞれ100nmおよび900nmの厚さに成膜し、前記回路素子部を平坦化するために、CMP法を用いてシリコン酸化膜121の研磨を行い、シリコン酸化膜121の厚さを600nmに形成する。その後、前記シリコン酸化膜121上に、フォトレジスト膜131を塗布露光し、さらに現像によって形成したいコンタクトパターンに対応したレジスト窓131aを形成する。
【0024】
コンタクト部のシリコン酸化膜エッチングの工程:
次に、図4(B)の工程において、前記レジスト窓131aを介して前記シリコン酸化膜121をエッチングし、前記シリコン酸化膜121中に開口部121aを形成する。前記シリコン酸化膜121のエッチシグは、C48ガス、COガス、02ガス、Arガス等を用いたプラズマエッチング法により行う。
【0025】
コンタクト部のシリコン窒化膜エッチングの工程:
次に、図5(A)の工程においてフォトレジスト膜131をアッシングにより除去し、シリコン酸化膜121をマスクにしてエッチングを行い、開口部121aの形状を前記第1のシリコン窒化膜111に転写し、前記シリコン窒化膜111中に開口部111aを形成する。
前記シリコン室化膜111のエッチングはCF4ガス、CHF3ガス、O2ガス、Ar等を用いるプラズマエッチング法により行うことができる。その際、前記開口部111aは前記開口部121aと上下に連続し、コンタクトホールを形成する。
【0026】
コンタクト内部への金属膜成膜の工程:
次に、図5(B)の工程においてコンタクトホールの内側とシリコン酸化膜121の上に、密着層としてTiN膜141を50nmの厚さに成膜し、続いて、タングステン膜151を400nmの厚さに成膜する。
【0027】
コンタクト形成のためのCMP工程:
次に、図6(A)の工程においてCMP法を用いて、タングステン膜151とTiN膜141とを研磨し、コンタクトホール内のみにタングステン膜151及びTiN膜141を残し、これらをコンタクトパターンとして使用する。
【0028】
配線形成用フォトレジスト膜パターニングの工程:
次に、図6(B)の工程において、シリコン窒化膜112と第2のシリコン酸化膜122を、それぞれ70nm及び500nmの厚さに形成し、シリコン酸化膜122上にフォトレジスト膜132を塗布露光し、さらに現像によって形成したい配線パターンに対応したレジスト窓132aを形成する。
【0029】
配線用シリコン酸化膜エッチングの工程:
次に、図7(A)の工程において前記フォトレジスト窓132aを通して、シリコン酸化膜122をエッチングし、前記シリコン酸化膜122中に配線溝を構成する開口部122aを形成する。
【0030】
配線用シリコン窒化膜エッチングの工程:
次に、図7(B)の工程において、フォトレジスト膜132をアッシングにより除去し、シリコン酸化膜122をマスクにして、エッチングを行い、前記開口部122aの形状をシリコン窒化膜112に転写し、前記シリコン窒化膜112中に前記開口部122aに対応した開口部112aを形成する。また、前記開口部112aと開口部122aは上下に連続し、後述する配線パターン171を形成する。
【0031】
配線用材料の成膜の工程:
次に、図8の工程において、後述する配線パターン171の内側とシリコン酸化膜122の上に、バリアメタル膜としてTa膜181を30nmの厚さに成膜し、続いて、配線材料としてCu膜191をスパッタリングまたは電解メッキ法により1600nmの厚さに成膜する。なお、Cu膜を電解メッキ法を用いて成膜する場合には、バリアメタル膜成膜後にCu膜をシード層として成膜後に、電解メッキ法にてCu膜を成膜する。
【0032】
配線形成のためのCMP工程:
次に、図9の工程においてCMP法を用いて前記Ta膜181およびCu膜191を研磨し、配線パターン171内のみに前記Ta膜181及びCu膜191を残し、配線パターン171が形成される。
【0033】
ビアパターン形成用レジスト膜パターニングの工程:
次に、図10の工程において前記配線パターン171上にシリコン窒化膜113とシリコン酸化膜123とシリコン窒化膜114とシリコン酸化膜124とを、それぞれ70nm,650nm,70nm,500nmの厚さに成膜し、シリコン酸化膜124上に、フォトレジスト膜133を塗布露光し、さらに現像によって形成したいビアパターンに対応したフォトレジスト窓133aを形成する。
【0034】
図示の例では、前記フォトレジスト窓133aは、バリアメタル膜であるTa膜181のうち、スクラブライン側80の配線パターン171であるCu膜191の外周側側壁面を覆う第1の外周部分205が、ビアパターンの窓133aに含まれるように形成される。
【0035】
ビアパターン形成の工程と配線用フォトレジスト膜パターニングの工程:
次に図11の工程において、フォトレジスト膜133をマスクにして、シリコン酸化膜124と、シリコン窒化膜114と、シリコン酸化膜123とをエッチングし、開口部133aの形状をシリコン酸化膜124と、シリコン窒化膜114と、シリコン酸化膜123に転写し、それぞれ開口部124a、開口部114a、開口部123aを形成する。
【0036】
前記シリコン酸化膜124とシリコン酸化膜123のエッチングは、C48ガス、COガス、O2ガス、Arガス等を用いるプラズマエッチング法により行うことができる。一方、前記シリコン窒化膜114のエッチングは、CF4ガス、CHF3ガス、O2ガス、Ar等を用いるプラズマエッチング法により行うことができる。
その後、フォトレジスト膜133はアッシングにより除去される。
【0037】
図12の工程において、半導体基板上の表面に、非感光性樹脂を塗布し、表面から溶解することにより、シリコン窒化膜113上に形成された開口部123aにのみ、非感光性樹脂の詰物5を残す。その後、シリコン酸化膜124上に、フォトレジスト膜134を塗布露光し、さらに現像によって形成したい配線パターンに対応したレジスト窓134aを形成する。
【0038】
配線形成のためのエッチングの工程:
次に、図13の工程において、前記フォトレジスト膜134をマスクにしてエッチングを行い、前記開口部134aの形状をシリコン酸化膜124に転写し、前記シリコン酸化膜124中に開口部124bを形成する。その後、フォトレジスト膜134をアッシングにより除去する。
このとき、非感光性樹脂の詰物5も同時に除去される。
【0039】
次に、前記シリコン酸化膜124をマスクにして、開口部124bの形状をシリコン窒化膜114に転写し、対応する開口部を形成すると同時に、前記シリコン酸化膜123をマスクにして、前記シリコン窒化膜113をエッチングする。その結果、図14に示すように前記開口部123aの形状がシリコン窒化膜113に転写される。
【0040】
前記シリコン酸化膜124とシリコン窒化膜113とをエッチングする場合には、シリコン酸化膜とシリコン窒化膜113のエッチングレートの比が1対1のエッチング条件を使用し、100%のオーバーエッチングを行うのが好ましい。
【0041】
さらに図14の工程において前記シリユン酸化膜123には前記シリコン酸化膜124の開口部124bの形状が転写され、シリコン酸化膜123は70nmの厚さだけエッチングされて開口部123bが形成される。
【0042】
図14の工程では、これと同時に前記シリコン酸化膜122に前記シリコン酸化膜123中の開口123aの形状が転写され、その結果、前記シリコン酸化膜122も70nmの厚さにエッチングされて前記酸化膜122中に開口部122aが形成される。
【0043】
前記開口部124bと、開口部114bと、開口部123bとは後述する配線パターン172として使用され、開口部123aと、開口部113aと、開口部122aは後述するビアパターン201として使用される。
【0044】
ビアパターン及び配線形成のための金属膜成膜の工程:
次に、図15(A)に示すように、後述する配線パターン172とビアパターン201内部と、シリコン酸化膜124上に、バリアメタル膜としてTa膜182を30nm成膜し、続いて、配線材科としてCu膜192をスパッタリング法または電解メッキ法により1600nm成膜する。なお、Cu膜を電解メッキ法を用いて成膜する場合には、バリアメタル膜成膜後にCu膜をシード層として成膜後に、電解メッキ法にてCu膜を成膜する。
【0045】
ビアパターン及び配線形成のためのCMP工程:
次に、図15(B)に示すように、CMP法を用いてTa膜182と、Cu膜192を研磨し、配線パターン172内部と、ビアパターン201内部にTa膜182及びCu膜192を残し、これらを配線パターン172として使用する。さらに前記層間絶縁膜124上に前記配線パターン172を覆うように拡散防止膜となるシリコン窒化膜115が成膜され、耐湿リングが形成される。
【0046】
図15(B)を参照するに、本実施形態の耐湿リングにおいては、配線パターン171を構成するCu膜191の外周側壁面がTa膜181により覆われており、また前記Cu膜191の上面のうち、前記外周側面近傍の領域が、ビアパターン201を構成するCu膜192を覆うTa膜182により、前記Ta膜181に連続して覆われた構造になっている。また前記Cu膜191の外周側側壁面のうち、上端部近傍の領域は、前記Ta膜181のみならず、その外側に形成されたTa膜182によっても覆われている。すなわち、前記Cu膜191のうち、前記外周側壁面の上端部はTa膜の2重構造により覆われている。
【0047】
その結果、図15(B)の構造では配線パターン171と配線パターン172との間に優れた密着性が得られ、また水分の侵入経路も屈曲する結果長くなり、水分の侵入を効果的に防ぐことができる。
【0048】
なお、以上の実施形態において導体パターン171および172は配線パターンとして説明したが、これはこれらの導体パターンが、多層配線構造中の対応する配線パターンと同時に、同様なプロセスにより一括して形成されるためである。従って前記導体パターン171および172は、必ずしも集積回路装置中における電気配線に使われるものとは限らない。また、以上の説明において、部材201は通常の多層配線構造の形成工程との関連で「ビアブラグ」として説明したが、実際には図2の平面図よりわかるように耐湿リングにおいて、部材201はチップ外周に沿って連続的に延在し、ビアウォールを形成している。
【0049】
(第2の実施形態)
図16(A)〜図17は、本発明の第2実施形態による半導体装置の製造工程を示している。ただし図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。
【0050】
配線形成のためのシリコン酸化膜エッチングの工程:
図16(A)を参照するに、本実施形態では、図13に示した構造を形成した後に、前記シリコン酸化膜124の開口部124bの下のシリコン窒化膜114、および前記シリコン酸化膜123の開口部123aの下にあるシリコン窒化膜113を、オーバーエッチングを行わない条件でエッチングする。
【0051】
その結果、図16(A)に示すように、シリコン酸化膜124をマスクにして、開口部124bの形状がシリコン窒化膜114に転写され、開口部114bが形成されると同時に、シリコン酸化膜123をマスクにして、シリコン窒化膜113もエッチングされ、開口部123aの形状がシリコン窒化膜113に転写され、開口部113aが形成される。
【0052】
このようにして形成された開口部124bと開口部114bとは配線パターン172として使用され、開口部123aと開口部113aはビアパターン202として使用される。
【0053】
ビアパターン及び配線形成のための金属膜成膜の工程:
次に、図16(B)の工程において前記第1実施形態と同様に、後述する配線パターン172とビアパターン202内部と、シリコン酸化膜124上に、バリアメタル膜としてTa膜182を30nmの厚さに成膜し、続いて、配線材料としてCu膜192をスパッタリングまたは電解メッキ法により1600nmの厚さに成膜する。
【0054】
ビアパターン及び配線形成のためのCMP工程:
その後、図17の工程において前記Ta膜182とCu膜192とをCMP法により研磨し、配線パターン172が形成される、図17ではこのようにして形成された前記配線パターン172上に拡散防止膜となるシリコン窒化膜115が成膜され、半導体装置が形成される。
【0055】
本実施形態による耐湿リングの構造の場合、ビアパターン202のバリアメタル膜を構成するTa膜182の底部は、前記Cu膜191よりなる配線パターン171の外周側壁面を覆うTa膜181の上端部と接するように形成されており、その界面にはTa膜/Ta膜、あるいはTa膜/Cu膜など、金属膜どうしが接した密着性の強い構造になっており、例えばダイシングプロセスなどにおいてクラックの伝搬を防止することができる。
【0056】
また、前記配線パターンの外周側壁面および上面は連続的にTa膜181あるいは182により覆われた構造となっており、シリコン酸化膜122とシリコン窒化膜113の界面、あるいはシリコン酸化膜123とシリコン窒化膜114の界面に沿って水分等が浸入した場合についても、これを防ぐことができ、前記半導体装置の信頼性を向上することができる。
【0057】
(第3の実施形態)
図18(A)〜20(F)は、本発明の第3実施形態による半導体装置の製造工程を示す。ただし図中、先に説明した部分には同一の参照符号を付して説明を省略する。
【0058】
ビアパターン形成用フォトレジスト膜パターニングの工程:
図18(A)を参照するに、本実施形態では配線171上に、シリコン窒化膜113と、シリコン酸化膜123と、シリコン窒化膜114と、シリコン酸化膜124とを、それぞれ70nm,650nm,70nmおよび500nmの厚さに成膜し、シリコン酸化膜124上に、フォトレジスト膜133を塗布露光し、さらに現像によってビアパターンに対応したフォトレジスト窓133aを形成する。
【0059】
なお、前記フォトレジスト窓133aはバリアメタル膜であるTa膜181のうち、スクラブライン側80の配線パターン171であるCu膜191の外周側壁面を覆う第1の外周部分205が、前記Ta膜182のうち、ビアコンタクト部の外周側壁面を覆う第2の外周部分206と、上下に整列する位置関係に形成されている。
【0060】
ビアパターン形成のためのエッチングの工程:
次に、前記フォトレジスト膜133をマスクにしてエッチングを行い、図18(B)に示すように開口部124a、開口部114a、開口部123aが形成される。
【0061】
配線形成用レジスト膜パターニング及びエッチングの工程:
次に図19(A)の工程において、半導体基板上の表面に、非感光性樹脂を塗布し、表面から溶解することにより、シリコン窒化膜113上に形成された開口部123aにのみ、非感光性樹脂の諸物5を残す。その後、シリコン酸化膜124上に、フォトレジスト膜134を塗布露光し、さらに現像によって形成したい配線パターンに対応したレジスト窓134aを形成する。
【0062】
次に、図19(B)の工程において、所望の配線パターンの形状にパターニングし、シリコン酸化膜124に開口部124bを形成する。
次に、アッシングを行い、フォトレジスト膜134と、非感光性樹脂の詰物5とを除去する。
次に、シリコン酸化膜124をマスクとしてエッチングを行い、前記開口部124bの形状がシリコン窒化膜114に転写され、前記シリコン窒化膜114中に前記開口部114bが形成される。
これと同時に、シリコン酸化膜123をマスクにして、シリコン窒化膜113をエッチングする。その結果、前記開口部123aの形状がシリコン窒化膜113に転写され、開口部113aが形成される。
【0063】
ビアパターン及び配線形成のための金属膜成膜の工程:
次に図19(C)に示すように、開口部124bと、開口部114bと、開口部123aと、開口部113aとの内部と、シリコン酸化膜124上に、バリアメタル膜としてTa膜182を30nmの厚さに成膜し、続いて配線材料としてCu膜192をスパッタリングまたは電解メッキ法により1600nmの厚さに成膜する。
【0064】
ビアパターン及び配線形成のためのCMP工程:
その後、図20に示すように、CMP法を用いてTa膜182とCu膜(図示せず)とを研磨し、配線パターン172を形成する。
【0065】
本実施形態による耐湿リングも、前記第2実施形態の半導体装置と同様に、ビアパターン202のバリアメタル膜を構成するTa膜182の底部が、配線パターン171の外周側側壁面を覆う外周部分の上端部において配線パターン171を覆うTa膜181と接しており、その結果、配線パターン171の外側壁面は、Ta膜181および182により連続的に覆われている。またこのような構造では、形成される界面はTa膜/Ta膜界面、あるいはTa膜/Cu膜界面となっており、優れた密着性を実現することができる。
【0066】
また、このような構造でも、シリコン酸化膜122とシリコン窒化膜113と界面、あるいはシリコン酸化膜123とシリコン窒化膜114の界面に沿って侵入する水分等を効果的に遮断することが可能である。
【0067】
(第4の実施形態)
図21は、本発明の第4実施形態による半導体装置の構成を示す。ただし図中、先に説明した部分には対応する参照符号を付し、説明を省略する。
【0068】
図21に示すように、本実施形態による半導体装置では、図示していない回路素子を半導体基板101上に形成した後、シリコン窒化膜111と、シリコン酸化膜221を、それぞれ100nmおよび900nmの厚さに成膜し、さらに前記シリコン酸化膜221をCMP法により研磨することにより、前記回路素子部を平坦化する。
【0069】
前記CMP法により前記シリコン酸化膜221の厚さを600nmに減じた後、前記シリコン酸化膜221上に、フォトレジスト膜(図示せず)を塗布露光し、さらに現像によって、コンタクトパターンに対応するレジスト窓(図示せず)を形成し、さらに前記レジストパターン(図示せず)をマスクに前記シリコン窒化膜111と、シリコン酸化膜221とをエッチングしてコンタクトホール(図示せず)を形成する。
【0070】
次に、コンタクトパターン161内とシリコン酸化膜221上に、密着層としてTiN膜141を50nmの厚さに成膜し、続いて、タングステン膜151を400nmの厚さに成膜し、さらにCMP法を用いて前記タングステン膜151とTiN膜141とを研磨することにより、前記コンタクトホール161内にタングステン膜151及びTiN膜141よりなるコンタクトパターンを形成する。
【0071】
次に、前記コンタクトパターン上に、有機SOG膜351と、シリコン酸化膜222とを成膜し、さらに前記シリコン酸化膜222上に、配線パターン171の配線加工用のフォトレジスト膜(図示せず)を塗布露光し、さらに現像によって前記配線パターン171に対応したレジスト窓(図示せず)を形成後、シリコン酸化膜222と有機SOG膜351のエッチングを行い、配線パターン171用の溝を加工する。
【0072】
なお、シリコン酸化膜222のエッチングには、C48ガス、COガス、O2ガス、Arガス等を用いるプラズマエッチング法を用いる。また、有機SOG膜351のエッチングは、O2ガス、Arガス、H2ガス等を導入した雰囲気で行われる。
【0073】
次に、配線パターン171形成用の溝とシリコン酸化膜222上に、バリアメタル膜としてTa膜181を30nmの厚さに成膜する。続いて、配線材料としてCu膜191をスパッタリングまたは電解メッキ法により1600nmの厚さに成膜する。その後、CMP法を用いてTa膜181とCu膜191とを研磨し、配線パターン171内のみにTa膜181及びCu膜191を残し、これらを配線パターン171として使用する。
【0074】
次に、配線パターン171上と、シリコン酸化膜222上に、シリコン窒化膜112を70nm成膜する。
【0075】
次に、前記シリコン窒化膜112上にシリコン酸化膜223と有機SOG膜352とシリコン酸化膜224と金属膜(例えばTiN膜等、図示せず)とを成膜し、さらに前記金属膜上に、配線172の配線加工用の別のフォトレジスト膜(図示せず)を塗布露光し、さらに現像によって、図示していない配線パターン172に対応したレジスト窓(図示せず)を形成する。
【0076】
その後、前記フォトレジスト膜(図示せず)をマスクにして、金属膜をエッチングし、金属膜に、配線パターン172の開口部を転写する。次に、アッシングを行い前記フォトレジスト膜(図示せず)を除去する。
続いて、金属膜及びシリコン酸化膜224上に、別のフォトレジスト膜(図示せず)を塗布露光し、さらに現像してビアパターン522に対応したフォトレジスト窓(図示せず)を形成する。このとき、前記フォトレジスト窓(図示せず)は、配線パターン172の内側に含まれるような位置に形成される。
【0077】
次に、フォトレジスト(図示せず)をマスクにして、シリコン酸化膜224にビアパターンを転写し、シリコン酸化膜224をマスクにして有機SOG膜352をエッチングすると同時にフォトレジスト膜を除去する。
続いて、金属膜に転写された配線パターン172と有機SOG膜352に転写されたビアパターンをマスクにしてシリコン酸化膜224とシリコン酸化膜223を同時にエッチングし、有機SOG膜352上とシリコン窒化膜112上が露出するまでエッチングを行う。次にシリコン酸化膜224をマスクに有機SOG膜352を選択的にエッチングし、最後にシリコン酸化膜223をマスクにして、シリコン窒化膜112をエッチングにて除去し、配線パターン171との接続部の開口部を形成する。
【0078】
次に、ビアパターン522の開口部と、配線パターン172上に、バリアメタル膜としてTa膜182を30nmの厚さに成膜する。続いて、配線材料としてCu膜192をスパッタリングまたは電解メッキ法により1600nmの厚さに成膜する。その後CMP法を用いて配線パターンの転写用に用いた金属膜(図示せず)とTa膜182とCu膜192を研磨し、ビアパターン522と、配線パターン172の内部のみにTa膜181及びCu膜191を残し、これらをビアパターン522及び配線パターン172として使用する。
【0079】
次に、配線パターン172上と、シリコン酸化膜224上に、シリコン窒化膜113を70nmの厚さに成膜する。その後、シリコン酸化膜225と、有機SOG膜353と、シリコン酸化膜226を成膜し、ビアパターン523と、配線パターン173とを、シリコン窒化膜113と、シリコン酸化膜225と、有機SOG膜353と、シリコン酸化膜226との内部に形成する。なお、ビアパターン523と、配線パターン173との形成方法は、ビアパターン522と、配線パターン172の形成手順と同じであるので、説明は省略する。また、配線パターン173上に形成されるビアパターン524と、配線パターン174との形成方法についても同様の手順で形成されるので説明は省略する。
【0080】
次に、配線パターン174が形成された後から説明する。配線パターン174が形成された後に、配線パターン174上と、シリコン酸化膜228上に、シリコン窒化膜115を70nm成膜する。その後、シリコン窒化膜115上にシリコン酸化膜231を形成する。
【0081】
次に前記シリコン酸化膜231上に、別のフォトレジスト膜(図示せず)を塗布し露光し、さらに現像によって、ビアパターン525に対応したフォトレジスト窓(図示せず)を形成する。その際、前記フォトレジスト窓(図示せず)は、バリアメタル膜であるTa膜184のうち、配線材料であるCu膜194の外周側側壁面を覆う外周部分が、前記ビアパターン525対応レジスト窓内(図示せず)に含まれるように、位置が設定される。
【0082】
その後、前記フォトレジスト膜(図示せず)をマスクにして、シリコン酸化膜231とシリコン窒化膜115とをエッチングし、ビアパターン525の窓をシリコン酸化膜231と、シリコン窒化膜115とに転写し、ビアパターンの開口部を形成する。
【0083】
次にビアパターン525内と、シリコン酸化膜231上に、TiN膜142と、タングステン膜152を成膜し、CMP法を用いて、タングステン膜152とTiN膜142を研磨し、ビアパターン525内部のみにタングステン膜152及びTiN膜142を残し、これらをビアパターン525として使用する。
【0084】
次に前記ビアパターン525上に、TiN/Ti膜411と、Al−Cu膜451と、TiN/Ti膜412とを成膜する。次に、TiN/Ti膜412上に別のフォトレジスト膜(図示せず)を、配線パターン175の形状に、塗布露光し、さらに現像してフォトレジスト膜(図示せず)にパターニングを行う。
【0085】
次に、前記フォトレジスト膜(図示せず)をマスクにして、エッチングを行い、配線パターン175を形成する。その後、シリコン酸化膜232を成膜し、最後に、SiN等の保護膜251をシリコン窒化膜232上にプラズマCVD法により成膜する。これにより、シリコン窒化膜232及びシリコン酸化膜251は配線パターン175に倣う表面形状で形成される。
【0086】
本実施形態の場合、コンタクトパターンと配線パターン171との接合面は、金属膜TiNと同じく金属膜であるTaとから形成されており、密着性及び耐湿性に強い構造になっている。また、配線パターン171から配線パターン174までの間の構造は、半導体基板101から厚さ方向に見た場合、連続的にTa膜が形成された構造となっており、密着性及び耐湿性に強い構造になっている。さらに、配線パターン174とビアパターン525は、金属膜Taと、同じく金属膜であるTiNとから形成されており、密着性及び耐湿性に強い構造になっている。
【0087】
したがって、本実施形態の耐湿リングの構造の場合、ダイシング時のクラックや、水分等が浸入した場合についても、これを防ぐことができ、前記半導体装置の信頼性を向上することができる。
【0088】
(第5の実施形態)
図22は、本発明の第5実施形態による半導体装置の構成を示す。ただし図22中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。
【0089】
図22を参照するに、図示していない回路素子を半導体基板101上に形成した後、シリコン窒化膜401と、シリコン酸化膜221を、それぞれ100nmおよび900nmの厚さに成膜し、前記回路素子部を平坦化するために、CMP法を用いてシリコン酸化膜221の研磨を行い、シリコン酸化膜221の厚さを600nmに形成する。その後、前記シリコン酸化膜221上に、フォトレジスト膜を塗布露光し、さらに現像によって、コンタクトパターンに対応したフォトレジスト窓を形成後、前記シリコン窒化膜401と、シリコン酸化膜221とに対してエッチングを行い、コンタクトホール161を形成する。
【0090】
次に、前記コンタクトホール161内とシリコン酸化膜221上に、密着層としてTiN膜141を50nm成膜し、続いて、タングステン膜151を400nm成膜し、CMP法を用いて、タングステン膜151とTiN膜141を研磨する。その結果、前記コンタクトホール161内のみにタングステン膜151及びTiN膜141が残り、これらがコンタクトパターンとして使用される。
【0091】
次に、前記コンタクトパターン161を含むシリコン酸化膜221上に、SiC膜431とSiOC膜321とを成膜する。
【0092】
その後、前記SiOC膜321上に、配線パターン171の配線加工用のフォトレジスト膜(図示せず)を塗布露光し、さらに現像によって、配線パターン171の窓(図示せず)を形成する。次に、前記フォトレジスト膜(図示せず)をマスクにして、SiOC膜321と、SiC膜431とをエッチングし、前記コンタクトパターンと導通をとるための、配線パターン171の溝(図示せず)を加工する。
【0093】
次に、配線パターン171上とSiOC膜321上にバリアメタル膜としてTa膜181を30nm成膜し、続いて、配線材料としてCu膜191をスパッタリングまたは電解メッキ法により1600nmの厚さに成膜する。
【0094】
その後、CMP法を用いて、Ta膜181とCu模191を研磨し、配線パターン171の内部のみにTa膜181及びCu膜191を残し、これらを配線パターン171として使用する。
【0095】
次に、配線パターン171上と、SiOC膜321上とに、SiC膜432と、SiOC膜322と、SiC膜433と、SiOC膜323とを成膜する。
【0096】
次に、配線パターン172のバリアメタルであるTa膜182のうち、ビアパターン522のCu膜192の外局側側壁面を覆う外周部が、配線パターン171のバリアメタルであるTa膜膜181のうち、Cu膜191の外周側側壁面を覆う外周部と、上下に整列するような位置関係になるように、SiOC膜323上に別のフォトレジスト膜(図示せず)を塗布し露光し、さらに現像によって、ビアパターン522の窓(図示せず)を形成する。
【0097】
次に、前記フォトレジスト膜(図示せず)をマスクにして、SiOC膜323と、SiC膜433と、SiOC膜322とをエッチングし、ビアパターン522の窓を、SiOC膜323と、SiC膜433と、SiOC僕322とに転写し、開口部(図示せず)を形成する。
次に、半導体基板上の表面に、非感光性樹脂を塗布し、表面から溶解することにより、前記開口部にのみ、非感光性樹脂の詰物(図示せず)を残す。
【0098】
次に、配線パターン172のバリアメタルであるTa膜182のうち、配線パターン171のCu膜192の外周側側壁面を覆う外周部が、配線パターン171のバリアメタルであるTa膜181のうち、Cu膜191の外周側側壁面を覆う外周部と、上下に整列するような位置関係になるように、SiOC膜323上に、別のフォトレジスト膜(図示せず)を塗布し露光し、さらに現像によって、配線パターン172の窓(図示せず)を形成する。
【0099】
次に、前記フォトレジスト膜(図示せず)をマスクにして、SiOC膜323をエッチングし、SiOC膜323に配線パターン172の窓(図示せず)を転写し開口部(図示せず)を形成する。
【0100】
次に、アッシングを行い、前記フォトレジスト膜(図示せず)と非感光性樹脂の詰物(図示せず)を除去する。
次に、エッチングの条件を変えて、配線パターン172の底部にあるSiC膜433と、ビアパターン522の底部にあるSiC膜432とをエッチングする。次に、ビアパターン522内部と、配線パターン172の内部と、SiOC膜323上に、バリアメタル膜としてTa膜182を30nmの厚さに成膜する。続いて、配線材料としてCu膜192をスパッタリングまたは電解メッキ法により1600nmの厚さに成膜する。その後、CMP法を用いて、Ta膜182とCu膜192を研磨し、ビアパターン522内部と、配線パターン172の内部のみにTa膜182及びCu膜192を残し、これらをビアパターン522及び配線パターン172として使用する。
【0101】
次に、配線パターン172の上と、SiOC膜323上に、SiC膜434を成膜する。
【0102】
その後、SiC膜434上に、SiOC膜324と、SiC膜435と、SiOC膜325とを成膜し、ビアパターン523と、配線パターン173とを、SiOC膜325と、SiC膜435と、SiOC膜324と、SiC膜434との内部にフォトリソグラフィーと、エッチングを行い、その後、Ta膜182及びCu膜192を成膜し、CMP法により、ビアパターン523内部と、配線パターン173の内部のみに、Ta膜183及びCu膜193を残し、これらをビアパターン523及び配線パターン173として使用する。
【0103】
なお、ビアパターン523と、配線パターン173との形成方法は、ビアパターン522と、配線パターン172の形成手順と同じであるので、説明は省略する。また、配線パターン173上に形成されるビアパターン524と、配線パターン174との形成方法についても、同様の手順で形成されるので説明は省略する。
【0104】
次に、配線パターン174が形成された後から説明する。配線パターン174が形成された後に、配線パターン174上と、SiOC膜327上に、SiC膜438と、シリコン酸化膜231を成膜する。
【0105】
次に、シリコン酸化膜231上に、別のフォトレジスト膜(図示せず)を塗布し露光し、さらに現像によって、ビアパターン525の窓(図示せず)を形成する。
【0106】
前記ビアパターン525の窓(図示せず)は、バリアメタル膜であるTa膜184のうち、配線材料であるCu膜194の外周側側壁面を覆う外周部分が、前記ビアパターン525の窓(図示せず)に含まれるように形成される。
【0107】
その後、前記フォトレジスト膜(図示せず)をマスクにして、シリコン酸化膜231と、SiC膜438とを、エッチングし、ビアパターン525の窓(図示せず)をシリコン酸化膜231と、SiC膜438とに転写し、ビアパターン525の開口部(図示せず)を形成する。
【0108】
次に、ビアパターン525内と、シリコン酸化膜231上に、TiN膜142と、タングステン膜152を成膜し、CMP法を用いて、タングステン膜152とTiN膜142を研磨し、ビアパターン525内部のみにタングステン膜152及びTiN膜142を残し、これらをビアパターン525として使用する。
【0109】
次に、ビアパターン525上に、TiN/Ti膜411と、Al−Cu膜451と、TiN/Ti膜412とを成膜する。次に、TiN/Ti膜412上に別のフォトレジスト膜(図示せず)を、配線パターン175の形状に、塗布、露光し、さらに現像して、配線パターン175の窓(図示せず)を形成する。
【0110】
次に、前記フォトレジスト膜(図示せず)をマスクにして、エッチングを行い、配線パターン175を形成する。その後、シリコン酸化膜232を成膜し、最後に、SiN等の保護膜251をシリコン酸化膜232上にプラズマCVD法により成膜する。これにより、シリコン窒化膜232及びシリコン酸化膜251は配線パターン175に倣う表面形状で形成される。
【0111】
本実施形態の場合も第4の実施形態と同様に、コンタクトパターンと配線パターン171との接合面は、金属膜TiNと同じく金属膜であるTaとから形成されており、密着性及び耐湿性に強い構造になっている。また、配線パターン171から配線パターン174までの間の構造は、半導体基板101から厚さ方向に見た場合、連続的にTa膜が形成された構造となっており、密着性及び耐湿性に強い構造になっている。さらに、配線パターン174とビアパターン525は、金属膜Taと、同じく金属膜であるTiNとから形成されており、密着性及び耐湿性に強い構造になっている。
【0112】
したがって、本実施形態の耐湿リングの構造の場合、ダイシング時のクラックや、水分等が浸入した場合についても、これを防ぐことができ、前記半導体装置の信頼性を向上することができる。
【0113】
(第6の実施形態)
以上の実施形態は、デュアルダマシン法による耐湿リングの形成についてのものであったが、本発明はシングルダマシン法を使うプロセスに対しても適用可能である。
【0114】
図23は、本発明の第6の実施形態による耐湿リングの断面構造を示す。
【0115】
図23を参照するに、層間絶縁膜551中には導体パターン551Aが形成されており、前記層間絶縁膜551上にはエッチングストッパー膜551Bを介して層間絶縁膜552が形成されている。前記層間絶縁膜552中にはビアホール中にビアプラグ552Aが形成されており、前記ビアプラグ552Aは一部が前記層間絶縁膜551中に侵入して前記導体パターン551Aにコンタクトする。
【0116】
前記ビアブラグ552Aの側壁面および底面は、連続的にTaやTaN,Ti/TiNなどのバリアメタル膜552aにより覆われており、また前記導体パターン551Aの側壁面および底面も、同様なバリアメタル膜551aにより覆われている。
【0117】
図示の構造では、前記層間絶縁膜551とエッチングストッパー膜551Bとの界面に沿った水分の侵入路は、先の実施形態のようにバリアメタル膜551aおよびバリアメタル膜552aにより遮断されている。
【0118】
一方、前記層間絶縁膜552上には別のエッチングストッパー膜552Bを介して別の層間絶縁膜553が形成されており、前記層間絶縁膜553中には、導体パターン553Aが、側壁面および底面をバリアメタル膜553aにより連続して覆われた状態で形成されている。このような構造は、前記層間絶縁膜552中に前記ビアプラグ552Aをシングルダマシン法により形成した後、前記層間絶縁膜553および導体パターン553Aを同しくシングルダマシン法で形成した結果生じている。
【0119】
その際、本実施形態では前記導体パターン553Aの位置を、前記ビアプラグ552Aの形成位置よりも外側に形成している。その結果、前記導体パターン553Aの側壁面および底面を覆うバリアメタル膜553aが前記ビアプラグ552Aの側壁面を覆うバリアメタル膜552aと、層間絶縁膜552とエッチングストッパー膜552Bとの界面において接し、その結果、前記層間絶縁膜552とエッチングストッパー膜552Bとの界面に沿った水分の侵入が遮断される。
【0120】
本実施形態において、前記導体パターン553Aは、前記導体パターン553Aの外側壁面を覆うバリアメタル膜553aと前記ビアプラグ552Aの外側壁面を覆うバリアメタル膜552aとが整列するような位置に形成してもよい。
【0121】
なお、図15(B)、図16(B)、図17、図20、図21〜23に示す構造は、耐湿リングに限定されることなく、内部回路の多層配線構造にも適用可能である。
【0122】
また、図15(B)、図16(B)、図17、図20、図21〜23に示した構造は、チップ内に形成されるフューズ部の耐湿リングに使用することも可能である。ただし、その場合は、前記図中の外周側と内周側のパターンを反転させた構造のパターンを用いる。
【0123】
(第7の実施形態)
上記実施形態では半導体回路領域の外周に耐湿リングを設けた構造を例示したが、本発明の技術思想はこれに限定されず、半導体回路領域内にも同様の構造を設けることや、回路領域表面側から侵入する水分等に対して、耐湿性を保障することも可能である。その具体例を本発明の第7の実施形態として以下に説明する。
【0124】
図24(A)は、半導体回路領域内に形成されるインダクター素子の一構成例を示した平面図である。図24(A)の例におけるインダクター素子は、コイル状に連続して延在する配線パターンが形成され、その配線パターンの下層において配線パターンの端部に接続する引き出し線が形成される。
【0125】
図24(B)及び図24(C)は、図24(A)の破線部分の拡大平面図及び拡大断面図である。図24(C)に示すように、本インダクター素子は、上層配線パターン603及びビアパターン602がデュアルダマシン法によって形成されている。また、本インダクター素子は、図24(B)及び図24(C)の破線部分に示すように、ビアパターン602が下層配線パターン601に十分内包され、図24(C)の破線部分のように、ビアパターン602を覆う例えばTa膜等のバリアメタル膜606の底面部分が、下層配線パターン601を覆う例えばTa膜等のバリアメタル層605の上端部に接しない構造となっている。
【0126】
図25は、本発明の第7の実施形態によるインダクター素子の平面構造及び断面構造を示した図である。
図25(B)に示すように、本実施形態のインダクター素子は、図24に例示したインダクター素子と同様に、上層配線パターン603及びビアパターン602がデュアルダマシン法によって形成されているが、ビアパターン602の側面を覆うバリアメタル膜606の底面部分が下層配線パターン601のバリアメタル膜605の上端部と接し、バリアメタル膜605とバリアメタル膜606の側面が上下に略整列した構成となっている。
【0127】
また、図24(B)中のPは、ビアパターン602のピッチを示し、Wは配線パターン601、603の幅を示している。この例においては、配線パターン601、603の幅Wが10μm、ビアパターン602のピッチPが0.6μmであるとする。更に、ビアパターン602の幅が0.3μmであるとすると、図24(B)の例におけるインダクター素子では、一配線パターンあたりに16本のビアパターン602を形成することができる。これに対し、同条件下における本実施形態のインダクター素子では、図25(A)及び図25(B)に示すように、ビアパターン602の一方の側面と下層配線パターン601の一方の側面を上下に整列させているため、16本のビアパターン602を形成しても、さらに0.4μmの余剰スペースが生じることになる。従って、さらにもう1本ビアパターン602を追加させることが可能となり、ビアパターン602の部位における低抵抗化を図ることも可能となる。
【0128】
(第8の実施形態)
図26は、本発明の第8の実施形態によるインダクター素子の平面構造及び断面構造を示した図である。
上記第7の実施形態では、下層配線パターン601と上層配線パターン603の幅が同一の場合における例を説明したが、本実施形態の配線構造は、図26(A)及び図26(B)に示すように、上層配線パターン603の幅が下層配線パターン601の幅より大きくなるように形成されている。また、それに伴ってビアパターン602のピッチPも大きくなり、端部に属するビアパターン602の底面部分がバリアメタル膜605の上端部を覆い、且つ下層配線パターン601の外側に延在している。
【0129】
(第9の実施形態)
次に、本発明の第9の実施形態として耐湿リング構造を有するフューズ素子を説明する。冗長回路素子であるフューズ素子701は、図27に示すように、一般に上記インダクター素子と同様に半導体回路領域内の任意の部位に形成可能である。
【0130】
図28(A)は、本実施形態のフューズ素子701の構成を示した平面図であり、図28(B)は、図28(A)中のN−N´線の破線部分における断面構成を示した図である。図28(A)及び図28(B)に示すように、本実施形態のフューズ素子701は、耐湿リング構造702、切断部703及び引き出し配線部704を有する。耐湿リング構造702は、図28(A)に示すように、フューズ素子701の周囲に沿って形成される。
【0131】
また、本耐湿リング構造は、図28(B)に示すように、切断後に大気に暴露される側、即ち、フューズ素子701の切断部703側、即ち半導体装置の内側にビアパターン705が下層配線パターン706に対してずれた格好で配置されている。これにより、切断部703の切断によって切断部703の下方に形成される絶縁膜707が大気に暴露し、そこから水分等が侵入した場合に耐湿リング構造702が有効に機能することになる。
【0132】
ここで、上記第1〜第8の実施形態では、半導体装置の外周部においてビアパターンが下層配線パターンに対して外側にずれた構成とし、ダイシング時等に発生する外乱を有効に防止していた。これに対して、本実施形態では、ビアパターン705が下層配線パターン706に対して半導体装置の内側にずれた構成とし、フューズ素子701の切断時に発生する外乱に有効に対処している。つまり、本発明の技術思想としては、必要に応じて、下層配線パターン706に対してビアパターン705を任意の方向にずらして配置することを包含するものである。
【0133】
(第10の実施形態)
図29は、ビアパターン802と上層配線パターン801との関係を説明するための図である。図29(A)は、ビアパターン802及び上層配線パターン801をデュアルダマシン法によって形成した場合の断面構成図であり、図29(B)及び図29(C)は、ビアパターン及び上層配線パターンをシングルダマシン法によって形成した場合の平面構成図及び断面構成図である。尚、図29(B)中の一点鎖線J−J´は、図28(C)の断面図示線である。
【0134】
ここで、図29(A)に示すように、ビアパターン802と上層配線パターン801がデュアルダマシン法によって形成された場合には、自己整合的にビアパターン802が上層配線パターン801に内包された位置に形成されるため問題とはならないが、シングルダマシン法を用いて形成された場合には、以下の問題が生じる。
【0135】
即ち、下層配線パターンに対してビアパターンを任意の方向にずらして形成し、そして下層配線パターンと同じパターンで上層配線パターンを形成した場合には、図29(B)及び図29(C)に示すように、ビアパターン802の一部上面しか上層配線パターン801と接しない構造となる。既に説明したように、SiN膜等のエッチングストッパー膜805とTa膜等のバリアメタル膜804、及び、SiN膜等のエッチングストッパー膜805とCu膜等の配線803の密着性はそれぞれ悪いため、水分等の侵入によりCu配線が腐食してしまう等の問題が生じる。
【0136】
図30は、本発明の第10の実施形態における配線構造を示した図であり、図30(A)は、本実施形態の配線構造の平面構成図であり、図30(B)は、本実施形態の配線構造の断面構成図である。尚、図30(A)中の二点鎖線は、図30(B)の断面図示線であり、図30(A)中の一点鎖線部分が上層配線パターン801、破線部分が下層配線パターン806に相当する。
【0137】
本実施形態の配線構造は、図30(B)に示すように、ビアパターン802と上層配線パターン801がシングルダマシン法によって形成されており、ビアパターン802と上層配線パターン801の側面が上下に整列され、ビアパターン802が上層配線パターン801に内包されるように形成する。これにより、本実施形態によれば、ビアパターン802の上面をTa膜及びCu膜等と密着性の高いTa膜等のバリアメタル膜805で覆うことができ、耐湿性を向上させることができる。
【0138】
(第11の実施形態)
図31は、本発明の第11の実施形態における配線構造を示した図であり、図31(A)は、本実施形態の配線構造の平面構成図であり、図31(B)は、本実施形態の配線構造の断面構成図である。尚、図31(A)中の二点鎖線は、図31(B)の断面図示線であり、図31(A)中の一点鎖線部分が上層配線パターン801、破線部分が下層配線パターン806に相当する。
【0139】
本実施形態の配線構造は、図31(B)に示すように、ビアパターン802と上層配線パターン801がシングルダマシン法によって形成されており、上層配線パターン801がビアパターン802からずれた位置に形成された構成となっている。上層配線パターン801のずれの方向は、既に説明した下層配線パターン806とビアパターン802との関係と同様に、必要に応じてビアパターン802に対して上層配線パターン801を任意の方向にずらして形成することが可能である。これにより、本実施形態によれば、ビアパターン802の上面をTa膜及びCu膜等と密着性の高いTa膜等のバリアメタル膜805で覆うことができ、耐湿性を向上させることができる。
【0140】
ここで、上記実施形態では、層間絶縁膜としてシリコン酸化膜123、エッチングストッパー膜としてシリコン窒化膜113を用いているが、これに限られず、例えば層間絶縁膜、エッチングストッパー膜としては、シリコン酸化膜(SiO2)、シリコン窒化膜(SiN)、シリコン酸窒化膜(SiON)、オルガノシリケートグラス膜(SiOC)、シリコンカーバイド膜(SiC)、シリコン窒化カーバイド膜(SiCN)及び弗素添加シリコン酸化膜等(SiOF)を用いてもよい。但し、この場合、層間絶縁膜よりもエッチングストッパー膜の方がエッチングに対して硬質であることを要することを考慮し、例えば、エッチングストッパー膜をシリコン窒化膜(SiN)、シリコンカーバイド膜(SiC)、シリコン窒化カーバイド膜(SiCN)とした場合には、層間絶縁膜としては、シリコン酸化膜(SiO2)、弗素添加シリコン酸化膜(SiOF)、シリコン酸窒化膜(SiON)、オルガノシリケートグラス膜(SiOC)、有機SOG膜等が好適である。
【0141】
以上本発明の好ましい実施形態について詳述したが、本発明は係る特定の実施形態に限定されるものではなく、特許請求の範囲に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
【0142】
以下、本発明の諸態様を付記としてまとめて記載する。
(付記1)半導体基板と、
前記半導体基板上方に形成される第1の層間絶縁膜、前記第1の層間絶縁膜中に形成される第1の導体パターン、前記第1の層間絶縁膜と前記第1の導体パターンとの間に、少なくとも前記第1の導体パターンの側面を覆うように形成される第1のバリアメタル膜、前記第1の層間絶縁膜上に形成される第2の層間絶縁膜、前記第2の層間絶縁膜を介して前記第1の導体パターンの上方に形成される第2の導体パターン、前記第1の導体パターンと前記第2の導体パターンとを接続するために前記第2の層間絶縁膜中に形成されるビアコンタクト部、並びに、前記ビアコンタクト部の側面及び底面を覆うように形成される第2のバリアメタル膜を含む配線構造とを備え、
前記配線構造は、連続して延在するように形成され、前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を覆う前記第2のバリアメタル膜の底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接して形成されることを特徴とする半導体装置。
【0143】
(付記2)前記第2のバリアメタル膜の前記底面部分は、前記第1の導体パターンの上面を少なくとも部分的に覆うことを特徴とする付記1記載の半導体装置。
【0144】
(付記3)前記第1のバリアメタル膜の側面と前記第2のバリアメタル膜の側面とが上下に整列していることを特徴とする付記1または2記載の半導体装置。
【0145】
(付記4)前記第2のバリアメタル膜は、その側面が前記第1のバリアメタル膜の側面から当該半導体装置の外側にずれた位置に形成されることを特徴とする付記1または2記載の半導体装置。
【0146】
(付記5)前記第2のバリアメタル膜の前記底面部分は、前記第1の導体パターンの上面を少なくとも部分的に覆い、さらに前記第1のバリアメタルの上端部を覆うことを特徴とする付記4記載の半導体装置。
【0147】
(付記6)前記ビアコンタクト部は、前記第1の層間絶縁膜中にその一部が侵入し、前記第1のバリアメタル膜の側面の一部又は全部が、前記侵入部分に相当する前記第2のバリアメタル膜の側面により覆われることを特徴とする付記5記載の半導体装置。
【0148】
(付記7)前記配線構造は、前記第2の層間絶縁膜上に形成される第3の層間絶縁膜を更に含み、
前記第3の層間絶縁膜内には、前記第2の導体パターンが形成されるとともに、前記第2の導体パターンの側面と前記第2の導体パターンの底面の一部又は全部とを覆うように第3のバリアメタル膜が形成され、前記第3のバリアメタル膜のうちの前記第2の導体パターンの底面を覆う前記第3のバリアメタル膜の底面部分が、前記第2のバリアメタル膜の上端部の少なくとも一部と接して形成されることを特徴とする付記1〜6の何れか1項に記載の半導体装置。
【0149】
(付記8)前記第2のバリアメタル膜の側面と前記第3のバリアメタル膜の側面とが上下に整列していることを特徴とする付記7に記載の半導体装置。
【0150】
(付記9)前記ビアコンタクト部は、前記第2の導体パターンに内包されることを特徴とする付記7に記載の半導体装置。
【0151】
(付記10)前記ビアコンタクト部及び前記第2の導体パターンは、デュアルダマシン法によって形成されることを特徴とする付記7〜9の何れか1項に記載の半導体装置。
【0152】
(付記11)前記ビアコンタクト部及び前記第2の導体パターンは、シングルダマシン法によって形成されることを特徴とする付記7〜9の何れか1項に記載の半導体装置。
【0153】
(付記12)前記第2のバリアメタル膜の前記底面部分は、前記ビアコンタクト部の上面を少なくも部分的に覆うことを特徴とする付記11に記載の半導体装置。
【0154】
(付記13)前記第2の導体パターンは、前記第2の層間絶縁膜中に侵入して形成されることを特徴とする付記7〜12の何れか1項に記載の半導体装置。
【0155】
(付記14)前記第3のバリアメタル膜は、その側面が前記第2のバリアメタル膜の側面から当該半導体装置の外側にずれた位置に形成されることを特徴とする付記7〜13の何れか1項に記載の半導体装置。
【0156】
(付記15)前記配線構造が鏡面対象に形成されることを特徴とする付記1〜14の何れか1項に記載の半導体装置。
【0157】
(付記16)前記配線構造は、半導体回路領域の外周に沿って形成されることを特徴とする付記1〜15の何れか1項に記載の半導体装置。
【0158】
(付記17)前記配線構造は、半導体回路領域内に形成されることを特徴とする付記1〜15の何れか1項に記載の半導体装置。
【0159】
(付記18)前記半導体回路領域内部にフューズ素子をさらに含み、前記配線構造は、前記フューズ素子の一部を構成することを特徴とする付記17に記載の半導体装置。
【0160】
(付記19)前記第2のバリアメタル膜は、その側面が前記第1のバリアメタル膜の側面から前記フューズ素子の切断部側にずれた位置に形成されることを特徴とする付記18に記載の半導体装置。
【0161】
(付記20)前記第3のバリアメタル膜は、その側面が前記第2のバリアメタル膜の側面から前記フューズ素子の切断部側にずれた位置に形成されることを特徴とする付記18または19に記載の半導体装置。
【0162】
(付記21)前記第2のバリアメタル膜は、前記第1のバリアメタル膜と同じ材料により構成されることを特徴とする付記1〜20の何れか1項に記載の半導体装置。
【0163】
(付記22)第3のバリアメタル膜は、前記第2のバリアメタル膜と同じ材料により構成されることを特徴とする付記7〜21の何れか1項に記載の半導体装置。
【0164】
(付記23)前記第1のバリアメタル膜及び前記第2のバリアメタル膜は、Ta,Ti,Mo及びZrのうちの少なくとも一つを含む金属、或いは、TaN及びTiNの少なくとも一つを含む金属化合物を材料として構成されることを特徴とする付記21に記載の半導体装置。
【0165】
(付記24)前記第2のバリアメタル膜及び前記第3のバリアメタル膜は、Ta,Ti,Mo及びZrのうちの少なくとも一つを含む金属、或いは、TaN及びTiNの少なくとも一つを含む金属化合物を材料として構成されることを特徴とする付記22に記載の半導体装置。
【0166】
(付記25)前記第1の導体パターン及び前記ビアコンタクト部は、Cu或いはCuを含む金属を材料として構成されることを特徴とする付記1〜24の何れか1項に記載の半導体装置。
【0167】
(付記26)前記第1の導体パターン、前記ビアコンタクト部及び前記第2の導体パターンは、Cu或いはCuを含む金属を材料として構成されることを特徴とする付記7〜24の何れか1項に記載の半導体装置。
【0168】
(付記27)前記第1の層間絶縁膜は、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜、オルガノシリケートグラス膜、シリコンカーバイド膜、シリコン窒化カーバイド膜、有機SOG膜及び弗素添加シリコン酸化膜のうちの少なくとも何れか1つにより構成されることを特徴とする付記1〜26の何れか1項に記載の半導体装置。
【0169】
(付記28)前記第2の層間絶縁膜は、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜、オルガノシリケートグラス膜、シリコンカーバイド膜、シリコン窒化カーバイド膜、有機SOG膜及び弗素添加シリコン酸化膜のうちの少なくとも何れか1つにより構成されることを特徴とする付記1〜27の何れか1項に記載の半導体装置。
【0170】
(付記29)前記第3の層間絶縁膜は、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜、オルガノシリケートグラス膜、シリコンカーバイド膜、シリコン窒化カーバイド膜、有機SOG膜及び弗素添加シリコン酸化膜のうちの少なくとも何れか1つにより構成されることを特徴とする付記7〜28の何れか1項に記載の半導体装置。
【0171】
(付記30)連続して延在する配線構造を含む半導体装置の製造方法であって、
前記配線構造を形成するための工程として、
半導体基板上に第1の層間絶縁膜を形成する工程と、
第1の導体パターン、並びに、前記第1の層間絶縁膜及び前記第1の導体パターン間において少なくとも前記第1の導体パターンの側面を被覆する第1のバリアメタル膜を、前記第1の層間絶縁膜内に形成する工程と、
前記第1の層間絶縁膜上に第2の層間絶縁膜を形成する工程と、
前記第1の導体パターンと前記第1の導体パターンの上方に形成される第2の導体パターンとを接続するためのビアコンタクト部、並びに、前記ビアコンタクト部の側面及び底面を被覆する第2のバリアメタル膜を前記第2の層間絶縁膜内に形成する工程とを含み、
前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を被覆する前記第2のバリアメタル膜の前記底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接するように前記配線構造を形成することを特徴とする半導体装置の製造方法。
【0172】
(付記31)前記第2のバリアメタル膜の前記底面部分が前記第1の導体パターンの上面を少なくとも部分的に被覆するように前記配線構造を形成することを特徴とする付記30に記載の半導体装置の製造方法。
【0173】
(付記32)前記第1のバリアメタル膜の側面と前記第2のバリアメタル膜の側面とが上下に整列するように前記配線構造を形成することを特徴とする付記30または31に記載の半導体装置の製造方法。
【0174】
(付記33)前記第2のバリアメタル膜の側面が前記第1のバリアメタルの側面から当該半導体装置の外側にずれた位置となるように前記配線構造を形成することを特徴とする付記30または31に記載の半導体装置の製造方法。
【0175】
(付記34)前記第2のバリアメタル膜の前記底面部分が前記第1の導体パターンの上面を少なくとも部分的に覆い、さらに前記第2のバリアメタル膜の前記底面部分が前記第1のバリアメタルの上端部を覆うように前記配線構造を形成することを特徴とする付記33に記載の半導体装置の製造方法。
【0176】
(付記35)前記第1の層間絶縁膜中に前記ビアコンタクト部の一部が侵入し、前記第1のバリアメタル膜の側面の一部又は全部が前記侵入部分に相当する前記第2のバリアメタル膜の側面により覆われるように前記配線構造を形成することを特徴とする付記34に記載の半導体装置の製造方法。
【0177】
(付記36)前記配線構造を形成するための工程として、
前記第2の層間絶縁膜上に第3の層間絶縁膜を形成する工程と、
前記第2の導体パターンとともに、前記第2の導体パターンの側面と前記第2の導体パターンの底面の一部又は全部とを覆うように第3のバリアメタル膜を前記第3の層間絶縁膜内に形成する工程とを更に含み
前記第3のバリアメタル膜のうちの前記第2の導体パターンの底部を覆う前記第3のバリアメタル膜の底面部分が、前記第2のバリアメタル膜の上端部の少なくとも一部と接するように前記配線構造を形成することを特徴とする付記30〜35の何れか1項に記載の半導体装置の製造方法。
【0178】
(付記37)前記第2のバリアメタル膜の側面と前記第3のバリアメタル膜の側面とが上下に整列するように前記配線構造を形成することを特徴とする付記36に記載の半導体装置の製造方法。
【0179】
(付記38)前記ビアコンタクト部が前記第2の導体パターンに内包されるように前記配線構造を形成することを特徴とする付記36に記載の半導体装置の製造方法。
【0180】
(付記39)半導体回路領域の外周に沿って前記配線構造を形成することを特徴とする付記30〜38の何れか1項に記載の半導体装置の製造方法。
【0181】
(付記40)半導体回路領域内に前記配線構造を形成することを特徴とする付記30〜38の何れか1項に記載の半導体装置の製造方法。
【0182】
(付記41)前記半導体回路領域内部に形成されるフューズ素子の一部として前記配線構造を形成することを特徴とする付記40に記載の半導体装置の製造方法。
【0183】
(付記42)前記第2のバリアメタル膜の側面が前記第1のバリアメタル膜の側面から前記フューズ素子の切断部側にずれた位置に形成されるように前記配線構造を形成することを特徴とする付記41に記載の半導体装置の製造方法。
【0184】
(付記43)前記第3のバリアメタル膜の側面が前記第2のバリアメタル膜の側面から前記フューズ素子の切断部側にずれた位置に形成されるように前記配線構造を形成することを特徴とする付記41または42に記載の半導体装置の製造方法。
【0185】
【発明の効果】
本発明によれば、第1のバリアメタル膜の上端部と上層との密着性が強化され、前記第1のバリアメタル膜の上端部と前記バリアメタル底面部分との接続部を境に水分等の侵入を防止することが可能となり、第1のバリアメタル膜の内側に形成される第1の導体パターンが腐食してしまうこと等の問題が解消され、半導体装置の信頼性を向上させることができる。
【図面の簡単な説明】
【図1】従来の多層配線構造を示した断面図である。
【図2】従来の半導体装置の上面図である。
【図3】従来の耐湿リング構造を示す断面図である。
【図4】本発明の第1実施形態による半導体装置の製造過程を示す図(その1)である。
【図5】本発明の第1実施形態による半導体装置の製造過程を示す図(その2)である。
【図6】本発明の第1実施形態による半導体装置の製造過程を示す図(その3)である。
【図7】本発明の第1実施形態による半導体装置の製造過程を示す図(その4)である。
【図8】本発明の第1実施形態による半導体装置の製造過程を示す図(その5)である。
【図9】本発明の第1実施形態による半導体装置の製造過程を示す図(その6)である。
【図10】本発明の第1実施形態による半導体装置の製造過程を示す図(その7)である。
【図11】本発明の第1実施形態による半導体装置の製造過程を示す図(その8)である。
【図12】本発明の第1実施形態による半導体装置の製造過程を示す図(その9)である。
【図13】本発明の第1実施形態による半導体装置の製造過程を示す図(その10)である。
【図14】本発明の第1実施形態による半導体装置の製造過程を示す図(その11)である。
【図15】本発明の第1実施形態による半導体装置の製造過程を示す図(その12)である。
【図16】本発明の第2実施形態による半導体装置の製造過程を示す図(その1)である。
【図17】本発明の第2実施形態による半導体装置の製造過程を示す図(その2)である。
【図18】本発明の第3実施形態による半導体装置の製造過程を示す図(その1)である。
【図19】本発明の第3実施形態による半導体装置の製造過程を示す図(その2)である。
【図20】本発明の第3実施形態による半導体装置の製造過程を示す図(その3)である。
【図21】本発明の第4実施形態による半導体装置の製造過程を示す図である。
【図22】本発明の第5実施形態による半導体装置の製造過程を示す図である。
【図23】本発明の第6実施形態による半導体装置の製造過程を示す図である。
【図24】半導体回路領域内に形成されるインダクター素子の一構成例を示した図である。
【図25】本発明の第7の実施形態によるインダクター素子の構成を示した図である。
【図26】本発明の第8の実施形態によるインダクター素子の構成を示した図である。
【図27】半導体回路領域内におけるインダクター素子の形成部位について説明するための図である。
【図28】本発明の第9の実施形態によるフューズ素子の構成を示した図である。
【図29】ビアパターンと上層配線パターンとの関係を説明するための図である。
【図30】本発明の第10の実施形態における配線構造を示した図である。
【図31】本発明の第11の実施形態における配線構造を示した図である。
【符号の説明】
1 半導体装置
2 耐湿リング
3 ダイシング部
4 回路素子
5 非感光性樹脂の詰物
10 絶縁膜
11,531 第1の層間絶縁膜
12,532 第2の層間絶縁膜
13,533 第3の層間絶縁膜
21 第1のストッパー兼拡散防止膜
22 エッチングストッパー膜
23 第3のストッパー兼拡散防止膜
31 第1のバリアメタル膜
32 第2のバリアメタル膜
41,541 第1の配線パターン
42,542 第2の配線パターン
51 ビアパターン
60,101,501 半導体基板
70 ビアコンタクト
70a 密着層
70b 金属膜
80 スクライブライン側
90 半導体装置内部側
111,401 第1のシリコン窒化膜
112 第2のシリコン窒化膜
113 第3のシリコン窒化膜
114 第4のシリコン窒化膜
115 第5のシリコン窒化膜
111Al12Al13Al14a 開口部
121 第1のシリコン酸化膜
122 第2のシリコン酸化膜
123 第3のシリコン酸化膜
124 第4のシリコン酸化膜
121Al22Al23Al24Al14b,123b,124b 開口部
131,132,133,134 フォトレジスト膜
131a コンタクトパターンの窓
132a 第1の配線パターンの窓
133a ビアパターンの窓
134a 第2の配線パターンの窓
141,142 TiN膜
151,152 タングステン膜
161,521 コンタクトパターン
171 第1の配線パターン
172 第2の配線パターン
173 第3の配線パターン
174 第4の配線パターン
175 第5の配線パターン
181,182,183,184,185 Ta膜
191,192,193,194 Cu膜
201,202 ビアパターン
205 第1の外周部
206 第2の外周部
221 第1のシリコン酸化膜
222 第2のシリコン酸化膜
223 第3のシリコン酸化膜
224 第4のシリコン酸化膜
225 第5のシリコン酸化膜
226 第6のシリコン酸化膜
227 第7のシリコン螢化膜
228 第8のシリコン酸化膜
231 第9のシリコン酸化膜
232 第10のシリコン酸化膜
251 ブラズマSiN膜
321 第1のSiOC膜
322 第2のSiOC膜
323 第3のSiOC膜
324 第4のSiOC膜
325 第5のSiOC膜
326 第6のSiOC膜
327 第7のSiOC膜
351 第1の有機SOG膜
352 第2の有機SOG膜
353 第3の有機SOG膜
354 第4の有機SOG膜
411 TiN/Ti膜
412 TiN/Ti膜
431 第1のSiC膜
432 第2のSiC膜
433 第3のSiC膜
434 第4のSiC膜
435 第5のSiC膜
436 第6のSiC膜
437 第7のSiC膜
438 第8のSiC膜
451 Al−Cu膜
522 第1のビアパターン
523 第2のビアパターン
524 第3のビアパターン
525 第4のビアパターン
551 基板
552,553 層間絶縁膜
551A,553A 導体パターン
551A,552B エッチングストッパー膜
551a,552a,553a バリアメタル膜
552A ビアプラグ
601、706、806 下層配線パターン
602、705、802 ビアパターン
603、801 上層配線パターン
604 層間絶縁膜
605、606、804 バリアメタル膜
607、805 エッチングストッパー膜
608、803 配線
701 フューズ素子
702 耐湿リング構造
703 切断部
704 引き出し配線部
707 絶縁膜

Claims (8)

  1. 半導体基板と、
    前記半導体基板上方に形成される第1の層間絶縁膜、前記第1の層間絶縁膜中に形成される第1の導体パターン、前記第1の層間絶縁膜と前記第1の導体パターンとの間に、少なくとも前記第1の導体パターンの側面を覆うように形成される第1のバリアメタル膜、前記第1の層間絶縁膜上に形成される第2の層間絶縁膜、前記第2の層間絶縁膜を介して前記第1の導体パターンの上方に形成される第2の導体パターン、前記第1の導体パターンと前記第2の導体パターンとを接続するために前記第2の層間絶縁膜中に形成されるビアコンタクト部、並びに、前記ビアコンタクト部の側面及び底面を覆うように形成される第2のバリアメタル膜を含む配線構造とを備え、
    前記配線構造は、耐湿リングであり、前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を覆う前記第2のバリアメタル膜の底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接して形成され、前記第2のバリアメタル膜は、その側面が前記第1のバリアメタル膜の側面から当該半導体装置の外側にずれた位置に形成されることを特徴とする半導体装置。
  2. 前記第2のバリアメタル膜の前記底面部分は、前記第1の導体パターンの上面を少なくとも部分的に覆い、さらに前記第1のバリアメタルの上端部を覆うことを特徴とする請求項1に記載の半導体装置。
  3. 前記ビアコンタクト部は、前記第1の層間絶縁膜中にその一部が侵入し、前記第1のバリアメタル膜の側面の一部又は全部が、前記侵入部分に相当する前記第2のバリアメタル膜の側面により覆われることを特徴とする請求項2に記載の半導体装置。
  4. 前記配線構造は、前記第2の層間絶縁膜上に形成される第3の層間絶縁膜を更に含み、
    前記第3の層間絶縁膜内には、前記第2の導体パターンが形成されるとともに、前記第2の導体パターンの側面と前記第2の導体パターンの底面の一部又は全部とを覆うように第3のバリアメタル膜が形成され、前記第3のバリアメタル膜のうちの前記第2の導体パターンの底面を覆う前記第3のバリアメタル膜の底面部分が、前記第2のバリアメタル膜の上端部の少なくとも一部と接して形成されることを特徴とする請求項1〜3の何れか1項に記載の半導体装置。
  5. 前記第2のバリアメタル膜の側面と前記第3のバリアメタル膜の側面とが上下に整列していることを特徴とする請求項4に記載の半導体装置。
  6. 前記ビアコンタクト部は、前記第2の導体パターンに内包されることを特徴とする請求項4に記載の半導体装置。
  7. 耐湿リングの配線構造を含む半導体装置の製造方法であって、
    前記配線構造を形成するための工程として、
    半導体基板上に第1の層間絶縁膜を形成する工程と、
    前記第1の層間絶縁膜内に、第1の導体パターン、並びに、前記第1の層間絶縁膜及び前記第1の導体パターン間において少なくとも前記第1の導体パターンの側面を被覆する第1のバリアメタル膜を形成するための第1の開口部を形成する工程と、
    前記第1の導体パターン及び前記第1のバリアメタル膜を前記第1の開口部内に形成する工程と、
    前記第1の層間絶縁膜上に第2の層間絶縁膜を形成する工程と、
    前記第2の層間絶縁膜上に第3の層間絶縁膜を形成する工程と、
    前記第2の層間絶縁膜及び前記第3の層間絶縁膜内に、前記第1の導体パターンと前記第1の導体パターンの上方に形成される第2の導体パターンとを接続するためのビアコンタクト部、並びに、前記ビアコンタクト部の側面及び底面を被覆する第2のバリアメタル膜を形成するための第2の開口部を形成するとともに、前記第2の導体パターンを形成するための第3の開口部を形成する工程と、
    前記ビアコンタクト部及び前記第2のバリアメタル膜を前記第2の開口部内に形成する とともに、前記第2の導体パターンを前記第3の開口部内に形成する工程とを含み、
    前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を被覆する前記第2のバリアメタル膜の前記底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接するように前記配線構造を形成するとともに、前記第2のバリアメタル膜の側面が前記第1のバリアメタル膜の側面から当該半導体装置の外側にずれた位置となるように前記配線構造を形成することを特徴とする半導体装置の製造方法。
  8. 耐湿リングの配線構造を含む半導体装置の製造方法であって、
    前記配線構造を形成するための工程として、
    半導体基板上に第1の層間絶縁膜を形成する工程と、
    前記第1の層間絶縁膜内に、第1の導体パターン、並びに、前記第1の層間絶縁膜及び前記第1の導体パターン間において少なくとも前記第1の導体パターンの側面を被覆する第1のバリアメタル膜を形成するための第1の開口部を形成する工程と、
    前記第1の導体パターン及び前記第1のバリアメタル膜を前記第1の開口部内に形成する工程と、
    前記第1の層間絶縁膜上に第2の層間絶縁膜を形成する工程と、
    前記第2の層間絶縁膜内に、前記第1の導体パターンと前記第1の導体パターンの上方に形成される第2の導体パターンとを接続するためのビアコンタクト部、並びに、前記ビアコンタクト部の側面及び底面を被覆する第2のバリアメタル膜を形成するための第2の開口部を形成する工程と、
    前記ビアコンタクト部及び前記第2のバリアメタル膜を前記第2の開口部内に形成する工程と、
    前記第2の層間絶縁膜上に第3の層間絶縁膜を形成する工程と、
    前記第3の層間絶縁膜内に、前記第2の導体パターンを形成するための第3の開口部を形成する工程と、
    前記第2の導体パターンを前記第3の開口部内に形成する工程とを含み、
    前記第2のバリアメタル膜のうちの前記ビアコンタクト部の底面を被覆する前記第2のバリアメタル膜の前記底面部分が、前記第1のバリアメタル膜の上端部の少なくとも一部と接するように前記配線構造を形成するとともに、前記第2のバリアメタル膜の側面が前記第1のバリアメタル膜の側面から当該半導体装置の外側にずれた位置となるように前記配線構造を形成することを特徴とする半導体装置の製造方法。
JP2003076962A 2002-06-06 2003-03-20 半導体装置及びその製造方法 Expired - Fee Related JP4250006B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2003076962A JP4250006B2 (ja) 2002-06-06 2003-03-20 半導体装置及びその製造方法
KR1020030035584A KR100930556B1 (ko) 2002-06-06 2003-06-03 반도체 장치 및 그 제조 방법
TW092115253A TWI296434B (en) 2002-06-06 2003-06-05 Semiconductor device and method for manufacturing the same
US10/454,667 US7119439B2 (en) 2002-06-06 2003-06-05 Semiconductor device and method for manufacturing the same
CNB031424244A CN1290186C (zh) 2002-06-06 2003-06-06 半导体器件及其制造方法
US10/948,569 US7241676B2 (en) 2002-06-06 2004-09-24 Semiconductor device and method for manufacturing the same
KR1020090067257A KR100964263B1 (ko) 2002-06-06 2009-07-23 반도체 장치 및 그 제조 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002165818 2002-06-06
JP2003076962A JP4250006B2 (ja) 2002-06-06 2003-03-20 半導体装置及びその製造方法

Publications (3)

Publication Number Publication Date
JP2004064046A JP2004064046A (ja) 2004-02-26
JP2004064046A5 JP2004064046A5 (ja) 2006-05-11
JP4250006B2 true JP4250006B2 (ja) 2009-04-08

Family

ID=29714357

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003076962A Expired - Fee Related JP4250006B2 (ja) 2002-06-06 2003-03-20 半導体装置及びその製造方法

Country Status (5)

Country Link
US (2) US7119439B2 (ja)
JP (1) JP4250006B2 (ja)
KR (2) KR100930556B1 (ja)
CN (1) CN1290186C (ja)
TW (1) TWI296434B (ja)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4360881B2 (ja) * 2003-03-24 2009-11-11 Necエレクトロニクス株式会社 多層配線を含む半導体装置およびその製造方法
US20040245636A1 (en) * 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
US7387960B2 (en) * 2003-09-16 2008-06-17 Texas Instruments Incorporated Dual depth trench termination method for improving Cu-based interconnect integrity
JPWO2005034234A1 (ja) * 2003-10-02 2006-12-14 富士通株式会社 半導体装置及びその製造方法
JP2005136215A (ja) * 2003-10-30 2005-05-26 Toshiba Corp 半導体装置
JP2005142262A (ja) * 2003-11-05 2005-06-02 Toshiba Corp 半導体装置および半導体装置の製造方法
JP4603281B2 (ja) 2004-03-31 2010-12-22 ルネサスエレクトロニクス株式会社 半導体装置
JP4946436B2 (ja) * 2004-03-31 2012-06-06 日本電気株式会社 半導体装置及びその製造方法
JP4280204B2 (ja) 2004-06-15 2009-06-17 Okiセミコンダクタ株式会社 半導体装置
JP2006073891A (ja) * 2004-09-03 2006-03-16 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7777338B2 (en) * 2004-09-13 2010-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structure for integrated circuit chips
US7125791B2 (en) * 2004-10-12 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced copper damascene structure
KR100782202B1 (ko) 2005-02-25 2007-12-05 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법
US7479447B2 (en) * 2005-04-04 2009-01-20 International Business Machines Corporation Method of forming a crack stop void in a low-k dielectric layer between adjacent fuses
JP2007012996A (ja) * 2005-07-01 2007-01-18 Toshiba Corp 半導体装置
JP2007019188A (ja) * 2005-07-06 2007-01-25 Renesas Technology Corp 半導体集積回路装置およびその製造方法
JP4282646B2 (ja) * 2005-09-09 2009-06-24 株式会社東芝 半導体装置の製造方法
JP4699172B2 (ja) * 2005-10-25 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
US7449785B2 (en) * 2006-02-06 2008-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solder bump on a semiconductor substrate
JP2008016638A (ja) * 2006-07-06 2008-01-24 Sony Corp 半導体装置
JP4864608B2 (ja) * 2006-08-28 2012-02-01 東京エレクトロン株式会社 課金方法、記憶媒体及び半導体デバイス製造装置
JP4506767B2 (ja) * 2007-02-28 2010-07-21 カシオ計算機株式会社 半導体装置の製造方法
KR100995558B1 (ko) 2007-03-22 2010-11-22 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치 및 반도체 장치의 제조 방법
JP5332200B2 (ja) * 2007-03-22 2013-11-06 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
CN101641776B (zh) * 2007-03-30 2011-11-16 富士通半导体股份有限公司 半导体器件
JP5117791B2 (ja) * 2007-08-22 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
JP2009076782A (ja) * 2007-09-21 2009-04-09 Sharp Corp 半導体基板、その製造方法、および半導体チップ
JP2009088269A (ja) * 2007-09-28 2009-04-23 Toshiba Corp 半導体装置、およびその製造方法
JP2009135139A (ja) * 2007-11-28 2009-06-18 Toshiba Corp 半導体装置及びその製造方法
US7704804B2 (en) 2007-12-10 2010-04-27 International Business Machines Corporation Method of forming a crack stop laser fuse with fixed passivation layer coverage
US7956466B2 (en) 2008-05-09 2011-06-07 International Business Machines Corporation Structure for interconnect structure containing various capping materials for electrical fuse and other related applications
US8772156B2 (en) * 2008-05-09 2014-07-08 International Business Machines Corporation Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications
JP2010153543A (ja) * 2008-12-25 2010-07-08 Fujitsu Ltd 半導体装置およびその製造方法
US7892926B2 (en) * 2009-07-24 2011-02-22 International Business Machines Corporation Fuse link structures using film stress for programming and methods of manufacture
US8124448B2 (en) * 2009-09-18 2012-02-28 Advanced Micro Devices, Inc. Semiconductor chip with crack deflection structure
US8592941B2 (en) 2010-07-19 2013-11-26 International Business Machines Corporation Fuse structure having crack stop void, method for forming and programming same, and design structure
CN103185998B (zh) * 2011-12-30 2015-07-15 上海天马微电子有限公司 非晶硅栅极驱动线路的形成方法及液晶显示器形成方法
JP5834934B2 (ja) 2012-01-17 2015-12-24 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
US8906801B2 (en) * 2012-03-12 2014-12-09 GlobalFoundries, Inc. Processes for forming integrated circuits and integrated circuits formed thereby
JP5504311B2 (ja) * 2012-08-06 2014-05-28 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8916461B2 (en) 2012-09-20 2014-12-23 International Business Machines Corporation Electronic fuse vias in interconnect structures
TWI495074B (zh) 2012-11-30 2015-08-01 Ind Tech Res Inst 減能結構
JP6117246B2 (ja) * 2013-01-11 2017-04-19 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2016018879A (ja) * 2014-07-08 2016-02-01 株式会社東芝 半導体装置および半導体装置の製造方法
US10461149B1 (en) 2018-06-28 2019-10-29 Micron Technology, Inc. Elevationally-elongated conductive structure of integrated circuitry, method of forming an array of capacitors, method of forming DRAM circuitry, and method of forming an elevationally-elongated conductive structure of integrated circuitry
US10475796B1 (en) * 2018-06-28 2019-11-12 Micron Technology, Inc. Method of forming an array of capacitors, a method of forming DRAM circuitry, and a method of forming an elevationally-elongated conductive structure of integrated circuitry
US11373962B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced seal ring structure and method of making the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291891B1 (en) * 1998-01-13 2001-09-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and semiconductor device
JP3469771B2 (ja) * 1998-03-24 2003-11-25 富士通株式会社 半導体装置およびその製造方法
JPH11312680A (ja) * 1998-04-30 1999-11-09 Nec Corp 配線の形成方法
JP3293792B2 (ja) 1999-01-12 2002-06-17 日本電気株式会社 半導体装置及びその製造方法
JP4108228B2 (ja) 1999-07-15 2008-06-25 富士通株式会社 半導体装置の製造方法
JP4192348B2 (ja) 1999-08-09 2008-12-10 株式会社デンソー 半導体装置
US6566258B1 (en) 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6362524B1 (en) * 2000-07-26 2002-03-26 Advanced Micro Devices, Inc. Edge seal ring for copper damascene process and method for fabrication thereof
JP2002076114A (ja) 2000-08-30 2002-03-15 Hitachi Ltd 半導体装置の製造方法
JP4118029B2 (ja) * 2001-03-09 2008-07-16 富士通株式会社 半導体集積回路装置とその製造方法
JP4523194B2 (ja) * 2001-04-13 2010-08-11 富士通セミコンダクター株式会社 半導体装置とその製造方法
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
JP4948715B2 (ja) * 2001-06-29 2012-06-06 富士通セミコンダクター株式会社 半導体ウエハ装置およびその製造方法
JP2003115535A (ja) * 2001-10-04 2003-04-18 Hitachi Ltd 半導体集積回路装置
JP3757143B2 (ja) * 2001-10-11 2006-03-22 富士通株式会社 半導体装置の製造方法及び半導体装置
US6734090B2 (en) * 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
JP3813562B2 (ja) * 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
JP4088120B2 (ja) * 2002-08-12 2008-05-21 株式会社ルネサステクノロジ 半導体装置

Also Published As

Publication number Publication date
TWI296434B (en) 2008-05-01
KR20090094204A (ko) 2009-09-04
CN1467837A (zh) 2004-01-14
US7241676B2 (en) 2007-07-10
US7119439B2 (en) 2006-10-10
CN1290186C (zh) 2006-12-13
TW200401403A (en) 2004-01-16
US20050042816A1 (en) 2005-02-24
JP2004064046A (ja) 2004-02-26
KR20030095245A (ko) 2003-12-18
KR100964263B1 (ko) 2010-06-16
US20030227089A1 (en) 2003-12-11
KR100930556B1 (ko) 2009-12-09

Similar Documents

Publication Publication Date Title
JP4250006B2 (ja) 半導体装置及びその製造方法
US11056450B2 (en) Semiconductor device
US7211897B2 (en) Semiconductor device and method for fabricating the same
JP4699172B2 (ja) 半導体装置
US6689681B2 (en) Semiconductor device and a method of manufacturing the same
US7268434B2 (en) Semiconductor device and method of manufacturing the same
KR20030053055A (ko) 반도체 장치의 상호 접속 구조체 형성 방법
US20050093169A1 (en) Semiconductor device and method of manufacturing semiconductor device
US6372635B1 (en) Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
JP4280204B2 (ja) 半導体装置
JP2001085518A (ja) 多層配線構造体及び半導体装置の製造方法
EP1530236A2 (en) Semiconductor device with multi-layered wiring arrangement including reinforcing patterns, and production method for manufacturing such semiconductor device
US6429116B1 (en) Method of fabricating a slot dual damascene structure without middle stop layer
JP2005116788A (ja) 半導体装置
JP4167672B2 (ja) 半導体装置の製造方法
JP2007281197A (ja) 半導体装置及びその製造方法
JP2008041783A (ja) 半導体装置の製造方法
KR20070055910A (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
JP2005327875A (ja) 半導体集積回路装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060316

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060316

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080701

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090106

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090116

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120123

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4250006

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120123

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120123

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130123

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140123

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees