JP3494275B2 - 絶縁層内に導電性プラグを形成する方法および酸化物材料の化学的・機械的プレーナリゼーション方法 - Google Patents

絶縁層内に導電性プラグを形成する方法および酸化物材料の化学的・機械的プレーナリゼーション方法

Info

Publication number
JP3494275B2
JP3494275B2 JP20944097A JP20944097A JP3494275B2 JP 3494275 B2 JP3494275 B2 JP 3494275B2 JP 20944097 A JP20944097 A JP 20944097A JP 20944097 A JP20944097 A JP 20944097A JP 3494275 B2 JP3494275 B2 JP 3494275B2
Authority
JP
Japan
Prior art keywords
insulating layer
tungsten
conductive material
layer
height
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP20944097A
Other languages
English (en)
Other versions
JPH10189602A (ja
Inventor
クリス・シー・ユウ
トラング・ティー・ドーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of JPH10189602A publication Critical patent/JPH10189602A/ja
Application granted granted Critical
Publication of JP3494275B2 publication Critical patent/JP3494275B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Grinding Of Cylindrical And Plane Surfaces (AREA)

Description

【発明の詳細な説明】
【0001】 本発明は半導体製造における絶縁層内に
導電性プラグを形成する方法および酸化物材料の化学的
・機械的プレーナリゼーション方法に関する。さらに詳
しくは公知のタングステンプラグエッチバック技法によ
り形成した溝形プラグよりも一層改良された埋め込み形
および平らに突起したタングステンプラグが製造できる
化学的・機械的ウエハーポリッシング方法に関する。こ
れによりスパッタリング法により引続いて形成されるア
ルミニウム等の導電性材料層との連結が一層容易にな
る。
【0002】
【従来の技術とその課題】集積回路はシリコンまたはヒ
化ガリウムウエハー等の基板中に化学的・機械的に一体
化されており、基板中に複数の領域をパターニングし、
かつ基板上に複数の層をパターニングして製造する。導
体および抵抗体製造の場合には、これらの領域および層
を導電性にすることができる。またこれらの領域および
層を異なった導電率のもので構成させてもよく、トラン
ジスタおよびダイオード製造の場合には必要条件にな
る。半導体材料の単一ウエハー面上には数千以上のデバ
イスが同時に形成される。
【0003】高収率でデバイスを生産するには、先ず平
坦な半導体ウエハーから出発する必要がある。表面が平
坦でないウエハーからデバイスの製造を行なうと、種々
の問題が起こり多数の欠陥デバイスが発生する。
【0004】ウエハー面を平滑化(以後、プレーナリー
化とも呼称する)するための公知方法には、ウエハー面
上にホウリンケイ酸ガラス(BPSG)等の酸化物を形
成させ、次いでこのウエハーを加熱してリフローさせ酸
化物層をプレーナリー化する方法が包含される。ウエハ
ー面をプレーナリー化するためのこの“リフロー”方法
はかなり大形のデバイスの製造には適するが、小形サイ
ズを特徴とするデバイスの製造では満足な結果を与えな
い。
【0005】プレーナーウエハー面を製造するために従
来採用されてきた他の方法は、上記酸化物リフロー方法
を採用し、次いでウエハーをフォトレジストでスピンコ
ートする方法である。ウエハー面に該材料をスピンコー
トすると、低い箇所が充填されてプレーナー面が生成す
る。次いでドライエッチによりフォトレジストと酸化物
を、できるだけ11に近い比率で除去してフォトレジ
ストとウエハーの高い箇所を取り除いてウエハー面に平
坦なプレーナー酸化物層を形成させる。
【0006】極く最近、化学的・機械的プレーナリー化
(CMP)方法がデバイス製造工程においてウエハー面
をプレーナリー化するのに採用されている。このCMP
方法には、半導体材料から成る平坦な薄層ウエハーを湿
った回転ポリッシングパッドの表面に対して下方に一定
の圧力を掛けながら保持する方法が包含される。化学的
エッチ成分としての塩基性もしくは酸性溶液の何れか
を、研磨性エッチ成分としてのアルミナまたはシリカ粒
子と組合わせた混合物等のポリッシングスラリーを使用
する。通常、回転ポリッシングプラテンに対して一定圧
力下でウエハーを保持するために回転ポリッシングヘッ
ドまたはウエハーキャリャを使用する。通常、このポリ
ッシングプラテンは中空ポリウレタン等の比較的軟質で
湿ったパッド材料でカバーする。
【0007】平坦な薄層半導体ウエハーをポリッシング
するための装置は公知であり、例えば米国特許第4,1
93,226号,同第4,811,522号および同第
3,841,031号公報に装置の開示がある。
【0008】形成された複数の導体は集積回路全体を構
成する一部分を成し、かつ電流の通路を形成する表面配
線の役割をする。特に、形成された導体はウエハー面に
形成される各種のコンポネント類を一緒に電気的に接続
するのに用いる。ウエハー内に形成した複数の電子デバ
イスは、金属等の導電性ランナーと接触させなければな
らないアクチブ領域を有している。通常、絶縁材料層を
ウエハー頂部に重ねて選択的にマスクしてコンタクト開
孔パターンを形成させる。次いでこの層を例えば反応性
イオンエッチ(RIE)法によりエッチングして、絶縁
層の上部表面からウエハー中に下向きにコンタクト開孔
を作り特定アクチブ領域との電気的接触を行なわせる。
【0009】真空蒸発法およびスパッタリング技法で形
成されたる種の金属および合金は、ウエハー面に重ね
た場合、コンタクト開孔内部でのカバレージが不十分で
ある。不充分なカバレージを与える典型的金属の例は、
スパッタリングによるアルミニウム、またはアルミニウ
ムとシリコンおよび/または銅との合金である。コンタ
クトバイアス中に優れたカバレージを与える金属の例
は、化学蒸着法(CVD)により形成したタングステン
である。しかしタングステンはアルミニウム程導電性で
はない。したがって、タングステン層はエッチングまた
はポリッシドバックして平坦な上部面を有するプラグを
絶縁層中に形成させ、この上部面の高さを絶縁体表面と
同じにする。次いでアルミニウムの一層をウエハー面の
頂部に重ねてプラグと接触させる。このアルミニウム層
をさらに選択的にエッチングして所望の相互連絡ランナ
ーを形成させてタングステンを他の回路素子と連結す
る。
【0010】図1はタングステンプラグを形成させる方
法の好ましい一実施結果を示す。ウエハー製作技法に従
って、酸化物材料(10)等の絶縁層をウエハー基板
(12)に重ね合わす。酸化物材料(10)中のコンタ
クト開孔(16)中に充填されたタングステン(14)
の高さを酸化物層の面と同じにする。図2はタングステ
ンエッチバック現行法の問題点の一つであるオーバーエ
ッチングの説明図であり、ウエハー面(10)のコンタ
クト開孔(16)内のタングステン(14)の凹みを示
している。このため、スパッタリングにより引続いて形
成されるアルミニウムまたはアルミニウム合金層(図示
せず)とタングステンプラグ(14)との接触が不完全
になる。反応性イオン エッチング(RIE)等の公知
タングステンエッチバック技術を採用して得られる凹部
のあるタングステンプラグとアルミニウム間の接触に関
しては、その信頼性を高めることは困難である。
【0011】RIE以外のタングステンエッチバック技
法も公知であり、例えばポリッシングスラリーおよびポ
リッシングパッドを用いる1工程CMPエッチバック法
がある。この方法は、ウエハー面上にCVDまたは他の
手法でタングステン層を形成させ、これにより絶縁体層
中のコンタクト開孔をタングステンで充填する。ウエハ
ー面を磨いてウエハー面上に亙って重なっているタング
ステンを取り除き、タングステンで充填されたコンタク
ト開孔を残す。スラリーの化学的作用とポリッシングパ
ッドの圧縮作用により、ある程度の量のタングステン材
料がコンタクト開孔から取り除かれて図2に示すような
溝形タングステン構造が出来上がる。
【0012】米国特許第4,992,135号公報には
タングステン層のエッチバック方法が開示されており、
ここに引用する。
【0013】以上のように、半導体ウエハー上のタング
ステン層をエッチバックするための改良方法であって、
引続いて形成される金属層または他の導電性材料との優
れた接触を可能ならしめるような方法の出現が要望され
ている。
【0014】 本発明の目的は、タングステンもしくは
他の導電性材料から成るコンタクト(プラグ)を形成す
るための絶縁層内に導電性プラグを形成する方法および
酸化物材料の化学的・機械的プレーナリゼーション方法
において、従来よりも一層均一で凹みがないプラグを製
造する方法の提供にある。
【0015】 他の目的は、タングステンまたは他の導
電性材料から成るプラグを形成するための絶縁層内に導
電性プラグを形成する方法および酸化物材料の化学的・
機械的プレーナリゼーション方法において、従来よりも
均一で凹みがないためにアルミニウム等の他の材料との
接触が優れた表面を有するプラグの形成方法の提供にあ
る。
【0016】 本発明のさらに他の目的は、均一で突出
した球形プラグをタングステンまたは他の導電性材料か
ら形成するための絶縁層内に導電性プラグを形成する
法および酸化物材料の化学的・機械的プレーナリゼーシ
ョン方法を提供することであり、引き続いて重ねる導電
性層との連結が、公知方法により製造した溝形プラグよ
りも容易であるようなプラグを製造できる方法の提供に
ある。
【0017】
【課題を解決するための手段】これらの目的は、本発明
が提案する化学的・機械的プレーナリー化(CMP)技
術を採用した2工程プラグ形成方法により達成できる。
酸化物層(BPSG)を有するるシリコン等の材料から
成る基板は、その中にコンタクト開孔を包含させて製造
し、基板上にタングステン等の金属層を形成してコンタ
クト開孔中にタングステンを充填する。プラグ材料に関
して選択的に作用する第1CMP工程では、ウエハー面
から酸化物を殆ど、または全く除去することなく酸化物
面上に重なるタングステン層を除去する。この工程の最
終段階ではウエハー面に亙って存在するチタン窒化物お
よびチタン層等のバリヤーを包含する金属残留物は完全
に取り除かれるが、この際酸化物表面の高さ以下のタン
グステンの一部も除去されるので、タングステンプラグ
に凹みができる。この溝形プラグは公知プラグ形成方法
では普通に見られる現象であるが、これにより引続いて
重ねる金属または他の材料との連結が困難になる。
【0018】したがって、ウエハー面の酸化物材料に関
して選択的に働く第2CMP工程において、絶縁材料の
一部を取り除いて高さがタングステンプラグと同じか、
または若干低めにする。表面上に伸長したタングステン
を整形してプラグの凹みに起因する凹形を取り除くため
には、所望量のタングステンが除去できるように調製し
た酸化物CMPのスラリーを使用する。すなわち、プラ
グ材料に関して選択性を有するエッチャントの量を増加
すればよい。絶縁材料の一部は0.5KÅ乃至2KÅの
範囲で除去することが好ましい。タングステンプラグの
上部の除去および絶縁材料の一部の除去は、研磨剤と、
2 2 と、水と、KOHおよびNH4OHからなるグ
ループから選択されたベースとを含むスラリーを用いて
化学的・機械的なプレーナリゼーションにより行われる
ようにする。
【0019】本発明の方法では導電性材料(ここではタ
ングステン)からプラグが形成され、この場合のプラグ
の高さは酸化物(この場合BPSGまたはSiO2 等の
他の材料)等の絶縁層の面と同じであるか、またはこれ
より若干突出している(第2実施態様)。突出プラグの
形状は制御しながら凸形に丸く突起するようにし、引続
いて施工するアルミニウム等の導電性材料との連結が一
層改良された表面を提供させる。
【0020】
【発明の実施の形態】本発明の方法に従って、先ず酸化
物(BPSG)等から成る約2乃至3μm厚さの絶縁材
料(10)層を有するウエハーを公知方法により製作し
た(図3)。材料(10)中にはコンタクト開孔(1
6)を公知方法により形成した。金属(30)層(この
場合タングステン)でコンタクト開孔(16)を充填
し、かつ絶縁層(10)面上に亙ってタングステンを張
り渡した。このタングステン層(30)は化学蒸着法
(CVD)で形成させればコンタクト開孔を充分に充填
できるが、他の有効な方法を採用してもよい。この場合
の酸化物(10)面上に亘るタングステン(30)層の
厚さは約10KÅであるが、この層は引続くウエハー処
理工程で除去されるので他の厚さでも構わない。
【0021】次いで、このウエハーをタングステンに関
して選択的に作用する化学的・機械的ポリッシング(C
MP)方法で処理した。この方法では回転プラテン上に
載せたポリッシングパッドを使した。Al23 等の研
磨性粒子、およびH22 およびKOHまたはNH4
Hのいずれか、または他の酸もしくは塩基等のエッチャ
ントを含有するスラリーを用いて、予め決められた速度
でタングステンを除去したが、この間の絶縁層の除去量
は僅少であった。この方法は米国特許第4,992,1
35号公報に開示されている。ポリッシングパッドは約
5乃至10分間、7乃至9psiの圧力下でウエハー面
と接触させた。この方法により、酸化物(10)中のコ
ンタクト開孔(16)内にタングステンプラグ(14)
が充填された図2の構造のプラグが得られた。この段階
ではポリッシングパッド中の繊維質による機械的タング
ステンエロージョンに起因してタングステン(14)は
僅かに凹んでいた。通常、凹みの程度は酸化物(10)
の面の高さ以下約0.5KÅ乃至3KÅの範囲内で変動
した。選択的にタングステンを取り除くために、スラリ
ー中の化学成分がタングステンを酸化し、生成タングス
テン酸化物はスラリー中の研磨性材料により機械的に除
去された。少量ではあるがタングステン自体も研磨材に
より追加的に除かれた。何れの場合でも、このCMP方
法はタングステンに関して選択的に働き、絶縁層は殆ど
そのまま残る。
【0022】磨くため、または凸面をなす突起状タング
ステンプラグを作るためのいずれの目的で少量のタン
グステンを取り除くことの方が好ましいのではあるが、
この第2工程中には、絶縁層材料に関して選択的に作用
するCMPプロセスも包含させた。この段階でタングス
テンを除去する場合は、絶縁材料を除去する場合の速度
よりも遥かに遅い速度で行なう。酸化物に関して選択的
なエッチャントを含有するスラリーを回転ポリッシング
パッドとウエハー面の間に供給した。この場合に使用し
たコロイド性シリカスラリー中には上記のように研磨材
が含ませてあり、またH22 およびKOHの塩基性混
合物等の、酸化物に対して選択的に作用するエッチャン
トも含ませた。他の非酸化物絶縁材料を使用した場合に
は、殆どの場合で他の化学エッチャントの使用が要求さ
れる。絶縁材料10をタングステンプラグ(14)周辺
から取り除いたが(図1)、その結果高さは絶縁材料
(10)の面と同じになった。パッドの作用によりタン
グステンおよび酸化物材料の表面が充分に研磨されて表
面の不規則性が消失した。タングステンのポリッシング
速度は50Å/分以下の低速であったが、その下の酸化
物層は2500Å/分以上の高速で磨いた。通常、0.
5KÅ乃至3KÅの絶縁材料層を第2CMP工程で取り
除くが、コンタクト開孔中でタングステンが凹む程度と
してはこの程度が限度である。
【0023】第1工程の第2実施態様も、かかるタング
ステンプラグの形成に用いて同様に有効である。この方
法ではAl23 研磨材粒子および水とH22 との塩
基性混合物から成る新規ポリッシングスラリーを使用し
た。上記の混合物中の第二塩基であるKOHまたはNH
4 OHはエッチング速度またはエッチングの良否には殆
ど影響を与えない。この新規スラリーでは、H22
タングステン面を酸化してタングステン酸化物にするた
めに用い、生成タングステン酸化物は引続くポリッシン
グ方法で取り除き、新鮮なタングステン表面を露出させ
てH22 とタングステン面との反応を継続させる。こ
れに対して、第1工程の第1実施態様ではH22 と、
タングステン酸化物を化学的に除去するのに有効なKO
HまたはNH4 OH等の第2化学成分との使用について
記載した。タングステン酸化物はスラリー中の研磨材に
よる機械的ポリッシング効果によっても充分に取り除く
ことができることが判明した。この新規スラリーの場
合、ポリッシング速度は1KÅ乃至3KÅであることが
分かり、この速度はH22 対H2 Oの比によって変動
する。100%H22 溶液では約3KÅ/分の速度で
タングステン酸化物が除去されるが、H22 対H2
の容積比が1対1では約0.5KÅ/分の速度である。
この新規スラリーを使用すると、絶縁体(例えばBPS
G)に対するタングステンの優れたポリッシング選択性
が得られ、選択性は約20対1であると算定された。
【0024】本発明の他の実施態様によると、酸化物
(10)を除去するウエハー第2ポリッシング工程を、
絶縁材料(10)が除去され、なおかつ図4にみられる
凸形で丸く突起したタングステンプラグが得られる迄継
続した。しかしこの工程は必ずしも本発明の必須要件で
はない。タングステンプラグ(40)の丸い面は、引続
くウエハー処理工程間中にスパッタリングもしくは他の
手段で形成させたアルミニウム層(図示せず)との連結
を容易にする丸い凸形面を備えている。タングステンプ
ラグの直径は1ミクロン以下のものが形成された。
【0025】絶縁層内で溝形を呈しない均一なプラグが
形成できるのみでなく、本発明の2工程方法によれば、
第2工程における酸化物ポリッシングによって一層平坦
なウエハー面が得られた。
【0026】酸化物以外の絶縁材料、例えばSi34
等を使用する方法、および材料の修正もまた本発明に包
含される。しかし非酸化物絶縁材料では、KOHおよび
水溶液以外の化学エッチャントを使用する必要が生ず
る。また他の各種の酸類、塩基類および研磨性材料もC
MPスラリー中に使用できる。
【0027】
【発明の効果】公知のタングステンプラグ・エッチバッ
ク技法により形成した溝形プラグよりも一層改良された
埋め込み形および丸く突起したタングステンプラグを製
造する方法が提供できる。したがってスパッタリング法
によるアルミニウム等の導電性材料から成る隣接層との
連結が一層容易になる。
【図面の簡単な説明】
【図1】好ましいプラグの断面図である。
【図2】公知CMP方法により形成した典型的な溝形プ
ラグの一断面図である。
【図3】本発明方法の第1工程において基板上に形成し
た導電性材料(タングステン等の)層を示す一断面図で
ある。
【図4】本発明の2工程方法により形成した丸く突起し
たプラグの一断面図である。
【符号の説明】
10 絶縁材料層 14,30 導電性材料 16 コンタクト開孔
───────────────────────────────────────────────────── フロントページの続き (72)発明者 クリス・シー・ユウ アメリカ合衆国、83706 アイダホ州、 ボイーズ、グロスター・ストリート 2528 (72)発明者 トラング・ティー・ドーン アメリカ合衆国、83712 アイダホ州、 ボイーズ、シェナンドア・ドライブ 1574 (56)参考文献 特開 平2−42728(JP,A)

Claims (21)

    (57)【特許請求の範囲】
  1. 【請求項1】 a)絶縁層内にコンタクト開孔を形成す
    るため、前記絶縁層の一部を除去する工程と、 b)前記絶縁層の表面に導電性材料の層を適用し、この
    導電性材料で前記コンタクト開孔を充填し、かつ前記絶
    縁層の表面を覆って前記導電性材料の層を形成する工程
    と、 c)前記絶縁層の表面から前記導電性材料の少なくとも
    一部を除去し、かつ前記コンタクト開孔は前記導電性材
    料で実質的に充填された状態に保つ工程であって、 前記一部の導電性材料の除去は研磨剤と酸化剤とを含む
    スラリーを用いた化学的・機械的プレーナリゼーション
    により行われ、 前記酸化剤は必須なる過酸化水素と任意選択の水とから
    成るもので、過酸化水素に対する水の容積比は1:1ま
    でとする工程と、 d)前記絶縁層表面の高さを前記導電性材料の上面の高
    さより低くするため、前記絶縁層の一部を除去する工程
    を含む絶縁層内に導電性プラグを形成する方法。
  2. 【請求項2】 前記コンタクト開孔は、エッチングで形
    成する請求項1記載の方法。
  3. 【請求項3】 前記導電層は化学蒸着法で形成する請求
    項1記載の方法。
  4. 【請求項4】 前記研磨剤は酸化アルミニウムを含む請
    求項1記載の方法。
  5. 【請求項5】 前記工程d)は化学的・機械的プレーナ
    リゼーションによって行う請求項1記載の方法。
  6. 【請求項6】 前記工程d)は前記絶縁層の一部を選択
    的に除去する化合物と第2の研磨剤を含むスラリーを用
    いる工程を含む請求項5記載の方法。
  7. 【請求項7】 前記第2の研磨剤はシリカを含む請求項
    6記載の方法。
  8. 【請求項8】 前記化合物は水酸化カリウムを含む請求
    項6記載の方法。
  9. 【請求項9】 0.5KÅ乃至3KÅの絶縁層は前記工
    程d)の最中に除去される請求項1記載の方法。
  10. 【請求項10】 前記工程d)は、前記絶縁層表面の高
    さが前記導電性材料の上面の高さと実質的に等しくなる
    まで行う請求項1記載の方法。
  11. 【請求項11】 前記工程d)は、前記絶縁層表面の高
    さが前記導電性材料の上面の高さより低くなるまで行
    い、前記導電性材料を前記絶縁層表面から突出させる請
    求項1記載の方法。
  12. 【請求項12】 前記プラグはタングステンを含む請求
    項1記載の方法。
  13. 【請求項13】 前記工程c)の間には、前記タングス
    テンの一部は、前記過酸化水素と反応して酸化タングス
    テンを生成する請求項12記載の方法。
  14. 【請求項14】 前記絶縁層は、絶縁性の誘電体層を含
    む請求項1記載の方法。
  15. 【請求項15】 0.5KÅ乃至3KÅの絶縁層は前記
    工程d)の最中に除去される請求項14記載の方法。
  16. 【請求項16】 a)酸化物材料の一部をエッチングし
    て、前記酸化物材料内にコンタクト開孔を形成する工程
    と、 b)前記酸化物材料の表面にタングステン層を適用し、
    このタングステンで前記コンタクト開孔を充填し、かつ
    前記酸化物材料を覆って前記タングステン材料の層を形
    成する工程と、 c)前記酸化物材料の表面から前記タングステンの少な
    くとも一部を、必須なる過酸化水素と任意選択の水を含
    む溶液と研磨剤から成る第1のスラリーを使って化学的
    ・機械的に除去しながら、前記コンタクト開孔は前記タ
    ングステンで実質的に充填された状態に保つ工程であっ
    て、前記溶液は、過酸化水素に対する水の容積比は1:
    1までとする工程と、 d)前記酸化物材料表面の高さを前記タングステン上面
    の高さより低くするため、水酸化カリウムを含む溶液と
    研磨剤から成る第2のスラリーを使って前記酸化物材料
    の一部を化学的・機械的に除去する工程を含み、これに
    よりタングステンプラグを前記コンタクト開孔に形成す
    る酸化物材料の化学的・機械的プレーナリゼーション方
    法。
  17. 【請求項17】 前記工程d)は、前記酸化物材料表面
    の高さが前記タングステンの上面の高さと実質的に等し
    くなるまで行う請求項16記載の方法。
  18. 【請求項18】 前記工程d)は、前記酸化物材料表面
    の高さが前記導電性材料の上面の高さより低くなるまで
    行い、前記タングステンを前記酸化物材料表面から突出
    させる請求項16記載の方法。
  19. 【請求項19】 a)絶縁層内にコンタクト開孔を形成
    するため、前記絶縁層の一部を除去する工程と、 b)前記絶縁層の表面に導電性材料の層を適用し、この
    導電性材料で前記コンタクト開孔を充填し、かつ前記絶
    縁層の表面を覆って前記導電性材料の層を形成する工程
    と、 c)前記絶縁層の表面から前記導電性材料の少なくとも
    一部を除去し、かつ前記コンタクト開孔は前記導電性材
    料で実質的に充填された状態に保つ工程であって、 前記一部の導電性材料の除去は研磨剤と溶液とを含むス
    ラリーを用いた化学的・機械的プレーナリゼーションに
    より行われ、 前記溶液は必須なる酸化剤と任意選択の担体とから成る
    もので、酸化剤に対する担体の容積比は1:1までとす
    る工程と、 d)スラリーを用いた化学的・機械的プレーナリゼーシ
    ョンによって前記絶縁層の高さを低くするために前記絶
    縁層の一部を除去する工程であって、 前記スラリーは、研磨剤と、前記導電性材料の上面に関
    して前記絶縁層表面の一部を選択的に除去する化合物と
    を含むこととを含む絶縁層内に導電性プラグを形成する
    方法。
  20. 【請求項20】 前記研磨剤はシリカを含む請求項19
    記載の方法。
  21. 【請求項21】 前記化合物は水酸化カリウムを含む請
    求項19記載の方法。
JP20944097A 1992-01-24 1997-08-04 絶縁層内に導電性プラグを形成する方法および酸化物材料の化学的・機械的プレーナリゼーション方法 Expired - Lifetime JP3494275B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US824980 1992-01-24
US07/824,980 US5244534A (en) 1992-01-24 1992-01-24 Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP5026065A Division JPH0821557B2 (ja) 1992-01-24 1993-01-22 絶縁層内に埋め込み形および突起形の導電性プラグを形成する方法

Publications (2)

Publication Number Publication Date
JPH10189602A JPH10189602A (ja) 1998-07-21
JP3494275B2 true JP3494275B2 (ja) 2004-02-09

Family

ID=25242798

Family Applications (2)

Application Number Title Priority Date Filing Date
JP5026065A Expired - Lifetime JPH0821557B2 (ja) 1992-01-24 1993-01-22 絶縁層内に埋め込み形および突起形の導電性プラグを形成する方法
JP20944097A Expired - Lifetime JP3494275B2 (ja) 1992-01-24 1997-08-04 絶縁層内に導電性プラグを形成する方法および酸化物材料の化学的・機械的プレーナリゼーション方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP5026065A Expired - Lifetime JPH0821557B2 (ja) 1992-01-24 1993-01-22 絶縁層内に埋め込み形および突起形の導電性プラグを形成する方法

Country Status (3)

Country Link
US (2) US5244534A (ja)
JP (2) JPH0821557B2 (ja)
DE (1) DE4301451C2 (ja)

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604158A (en) * 1993-03-31 1997-02-18 Intel Corporation Integrated tungsten/tungsten silicide plug process
US5937327A (en) * 1993-04-23 1999-08-10 Ricoh Company, Ltd. Method for improving wiring contact in semiconductor devices
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5938504A (en) * 1993-11-16 1999-08-17 Applied Materials, Inc. Substrate polishing apparatus
US5582534A (en) * 1993-12-27 1996-12-10 Applied Materials, Inc. Orbital chemical mechanical polishing apparatus and method
US5643053A (en) * 1993-12-27 1997-07-01 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved polishing control
US5501755A (en) * 1994-02-18 1996-03-26 Minnesota Mining And Manufacturing Company Large area multi-electrode radiation detector substrate
US5650039A (en) * 1994-03-02 1997-07-22 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved slurry distribution
US6027997A (en) * 1994-03-04 2000-02-22 Motorola, Inc. Method for chemical mechanical polishing a semiconductor device using slurry
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5599739A (en) * 1994-12-30 1997-02-04 Lucent Technologies Inc. Barrier layer treatments for tungsten plug
US5489552A (en) * 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
JP3318813B2 (ja) * 1995-02-13 2002-08-26 ソニー株式会社 多層配線形成方法
US5658829A (en) * 1995-02-21 1997-08-19 Micron Technology, Inc. Semiconductor processing method of forming an electrically conductive contact plug
US5580821A (en) * 1995-02-21 1996-12-03 Micron Technology, Inc. Semiconductor processing method of forming an electrically conductive contact plug
AU4866496A (en) * 1995-02-24 1996-09-18 Intel Corporation Polysilicon polish for patterning improvement
US5527736A (en) * 1995-04-03 1996-06-18 Taiwan Semiconductor Manufacturing Co. Dimple-free tungsten etching back process
US6069081A (en) * 1995-04-28 2000-05-30 International Buiness Machines Corporation Two-step chemical mechanical polish surface planarization technique
US5668063A (en) * 1995-05-23 1997-09-16 Watkins Johnson Company Method of planarizing a layer of material
US5578529A (en) * 1995-06-02 1996-11-26 Motorola Inc. Method for using rinse spray bar in chemical mechanical polishing
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US6110820A (en) * 1995-06-07 2000-08-29 Micron Technology, Inc. Low scratch density chemical mechanical planarization process
US6046110A (en) * 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
JP3108861B2 (ja) * 1995-06-30 2000-11-13 キヤノン株式会社 アクティブマトリクス基板、該基板を用いた表示装置、及びこれらの製造方法
US5763325A (en) * 1995-07-04 1998-06-09 Fujitsu Limited Fabrication process of a semiconductor device using a slurry containing manganese oxide
US5976971A (en) * 1995-07-19 1999-11-02 Ricoh Company, Ltd. Fabrication process of a semiconductor device having an interconnection structure
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
US5726099A (en) * 1995-11-07 1998-03-10 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium persulfate slurry
JPH09139368A (ja) * 1995-11-14 1997-05-27 Sony Corp 化学的機械研磨方法
US5573633A (en) * 1995-11-14 1996-11-12 International Business Machines Corporation Method of chemically mechanically polishing an electronic component
US5885899A (en) * 1995-11-14 1999-03-23 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium hydroxide slurry
JPH09148431A (ja) * 1995-11-21 1997-06-06 Nec Corp 半導体装置の製造方法
US5994220A (en) 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US6406998B1 (en) * 1996-02-05 2002-06-18 Micron Technology, Inc. Formation of silicided contact by ion implantation
US6075606A (en) 1996-02-16 2000-06-13 Doan; Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
US5769689A (en) * 1996-02-28 1998-06-23 Rodel, Inc. Compositions and methods for polishing silica, silicates, and silicon nitride
US5827780A (en) * 1996-04-01 1998-10-27 Hsia; Liang Choo Additive metalization using photosensitive polymer as RIE mask and part of composite insulator
US5940729A (en) * 1996-04-17 1999-08-17 International Business Machines Corp. Method of planarizing a curved substrate and resulting structure
US5693561A (en) * 1996-05-14 1997-12-02 Lucent Technologies Inc. Method of integrated circuit fabrication including a step of depositing tungsten
US5904563A (en) * 1996-05-20 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal alignment mark generation
US5948700A (en) * 1996-05-20 1999-09-07 Chartered Semiconductor Manufacturing Ltd. Method of planarization of an intermetal dielectric layer using chemical mechanical polishing
JP3076244B2 (ja) * 1996-06-04 2000-08-14 日本電気株式会社 多層配線の研磨方法
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
WO1997048132A1 (en) * 1996-06-11 1997-12-18 Advanced Micro Devices, Inc. Method for forming co-planar conductor and insulator features using chemical mechanical planarization
JP2000515323A (ja) * 1996-07-18 2000-11-14 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド エッチングストップを用いて互い違いの配線を生成する集積回路
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
KR19980019046A (ko) * 1996-08-29 1998-06-05 고사이 아키오 연마용 조성물 및 이의 용도(Abrasive composition and use of the same)
US5916453A (en) * 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US6033596A (en) * 1996-09-24 2000-03-07 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6039891A (en) 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6413870B1 (en) * 1996-09-30 2002-07-02 International Business Machines Corporation Process of removing CMP scratches by BPSG reflow and integrated circuit chip formed thereby
US6020263A (en) * 1996-10-31 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of recovering alignment marks after chemical mechanical polishing of tungsten
US5985746A (en) * 1996-11-21 1999-11-16 Lsi Logic Corporation Process for forming self-aligned conductive plugs in multiple insulation levels in integrated circuit structures and resulting product
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
SG54606A1 (en) * 1996-12-05 1998-11-16 Fujimi Inc Polishing composition
JPH10172969A (ja) 1996-12-06 1998-06-26 Nec Corp 半導体装置の製造方法
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5981385A (en) * 1997-01-27 1999-11-09 Taiwan Semiconductor Manufacturing Company Ltd. Dimple elimination in a tungsten etch back process by reverse image patterning
US6048789A (en) * 1997-02-27 2000-04-11 Vlsi Technology, Inc. IC interconnect formation with chemical-mechanical polishing and silica etching with solution of nitric and hydrofluoric acids
US6593657B1 (en) * 1997-03-03 2003-07-15 Micron Technology, Inc. Contact integration article
US5756398A (en) * 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
JP3111928B2 (ja) 1997-05-14 2000-11-27 日本電気株式会社 金属膜の研磨方法
US5899738A (en) * 1997-05-23 1999-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal plugs in stacked vias for multilevel interconnections and contact openings while retaining the alignment marks without requiring extra masking steps
WO1998054756A1 (fr) * 1997-05-26 1998-12-03 Hitachi, Ltd. Procede de polissage et procede de fabrication de composant a semi-conducteur dans lequel ledit procede est utilise
KR100266749B1 (ko) * 1997-06-11 2000-09-15 윤종용 반도체 장치의 콘택 플러그 형성 방법
US5770103A (en) * 1997-07-08 1998-06-23 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6051495A (en) * 1997-10-31 2000-04-18 Advanced Micro Devices, Inc. Seasoning of a semiconductor wafer polishing pad to polish tungsten
US6008123A (en) * 1997-11-04 1999-12-28 Lucent Technologies Inc. Method for using a hardmask to form an opening in a semiconductor substrate
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US6362101B2 (en) * 1997-11-24 2002-03-26 United Microelectronics Corp. Chemical mechanical polishing methods using low pH slurry mixtures
US6153531A (en) * 1997-12-22 2000-11-28 Philips Electronics North America Corporation Method for preventing electrochemical erosion of interconnect structures
US6093658A (en) * 1997-12-22 2000-07-25 Philips Electronics North America Corporation Method for making reliable interconnect structures
US6143642A (en) * 1997-12-22 2000-11-07 Vlsi Technology, Inc. Programmable semiconductor structures and methods for making the same
US5928968A (en) * 1997-12-22 1999-07-27 Vlsi Technology, Inc. Semiconductor pressure transducer structures and methods for making the same
US6077762A (en) * 1997-12-22 2000-06-20 Vlsi Technology, Inc. Method and apparatus for rapidly discharging plasma etched interconnect structures
US6028004A (en) * 1998-01-06 2000-02-22 International Business Machines Corporation Process for controlling the height of a stud intersecting an interconnect
US5897426A (en) * 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US6051500A (en) * 1998-05-19 2000-04-18 Lucent Technologies Inc. Device and method for polishing a semiconductor substrate
US6300241B1 (en) 1998-08-19 2001-10-09 National Semiconductor Corporation Silicon interconnect passivation and metallization process optimized to maximize reflectance
US6066552A (en) 1998-08-25 2000-05-23 Micron Technology, Inc. Method and structure for improved alignment tolerance in multiple, singularized plugs
US6093652A (en) * 1998-09-03 2000-07-25 Micron Technology, Inc. Methods of forming insulative plugs, and oxide plug forming methods
US6203407B1 (en) 1998-09-03 2001-03-20 Micron Technology, Inc. Method and apparatus for increasing-chemical-polishing selectivity
KR100292154B1 (ko) * 1998-09-09 2001-06-01 황인길 반도체소자의금속플러그형성방법
JP2000091278A (ja) 1998-09-10 2000-03-31 Nec Corp 半導体装置の製造方法
US6221775B1 (en) 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6008876A (en) * 1998-12-03 1999-12-28 National Semiconductor Corporation Polished self-aligned pixel for a liquid crystal silicon light valve
US5982472A (en) * 1998-12-14 1999-11-09 National Semiconductor Corporation Self-aligned pixel with support pillars for a liquid crystal light valve
US6261158B1 (en) 1998-12-16 2001-07-17 Speedfam-Ipec Multi-step chemical mechanical polishing
KR20000041399A (ko) * 1998-12-22 2000-07-15 김영환 반도체소자의 평탄화 공정을 위한 화학적기계적연마 방법
US6740590B1 (en) 1999-03-18 2004-05-25 Kabushiki Kaisha Toshiba Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded writing
US6555466B1 (en) * 1999-03-29 2003-04-29 Speedfam Corporation Two-step chemical-mechanical planarization for damascene structures on semiconductor wafers
US6235633B1 (en) 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6589872B1 (en) * 1999-05-03 2003-07-08 Taiwan Semiconductor Manufacturing Company Use of low-high slurry flow to eliminate copper line damages
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6153526A (en) * 1999-05-27 2000-11-28 Taiwan Semiconductor Manufacturing Company Method to remove residue in wolfram CMP
US6488730B2 (en) 1999-07-01 2002-12-03 Cheil Industries, Inc. Polishing composition
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6383934B1 (en) 1999-09-02 2002-05-07 Micron Technology, Inc. Method and apparatus for chemical-mechanical planarization of microelectronic substrates with selected planarizing liquids
US6306768B1 (en) 1999-11-17 2001-10-23 Micron Technology, Inc. Method for planarizing microelectronic substrates having apertures
US6372632B1 (en) 2000-01-24 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
TWI296006B (ja) 2000-02-09 2008-04-21 Jsr Corp
US6498101B1 (en) 2000-02-28 2002-12-24 Micron Technology, Inc. Planarizing pads, planarizing machines and methods for making and using planarizing pads in mechanical and chemical-mechanical planarization of microelectronic device substrate assemblies
US6313038B1 (en) 2000-04-26 2001-11-06 Micron Technology, Inc. Method and apparatus for controlling chemical interactions during planarization of microelectronic substrates
US6387289B1 (en) 2000-05-04 2002-05-14 Micron Technology, Inc. Planarizing machines and methods for mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
KR100517911B1 (ko) * 2000-05-19 2005-10-04 주식회사 하이닉스반도체 하부전극과 스토리지 노드 콘택간의 오정렬 및확산방지막의 산화를 방지할 수 있는 반도체 장치 제조 방법
US6612901B1 (en) 2000-06-07 2003-09-02 Micron Technology, Inc. Apparatus for in-situ optical endpointing of web-format planarizing machines in mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6520834B1 (en) 2000-08-09 2003-02-18 Micron Technology, Inc. Methods and apparatuses for analyzing and controlling performance parameters in mechanical and chemical-mechanical planarization of microelectronic substrates
US6838382B1 (en) 2000-08-28 2005-01-04 Micron Technology, Inc. Method and apparatus for forming a planarizing pad having a film and texture elements for planarization of microelectronic substrates
US6736869B1 (en) 2000-08-28 2004-05-18 Micron Technology, Inc. Method for forming a planarizing pad for planarization of microelectronic substrates
US7153410B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7134934B2 (en) 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7160176B2 (en) 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7153195B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US6609947B1 (en) 2000-08-30 2003-08-26 Micron Technology, Inc. Planarizing machines and control systems for mechanical and/or chemical-mechanical planarization of micro electronic substrates
US7220166B2 (en) 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7192335B2 (en) 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US6551935B1 (en) 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6592443B1 (en) * 2000-08-30 2003-07-15 Micron Technology, Inc. Method and apparatus for forming and using planarizing pads for mechanical and chemical-mechanical planarization of microelectronic substrates
US7112121B2 (en) 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US6867448B1 (en) 2000-08-31 2005-03-15 Micron Technology, Inc. Electro-mechanically polished structure
US6652764B1 (en) 2000-08-31 2003-11-25 Micron Technology, Inc. Methods and apparatuses for making and using planarizing pads for mechanical and chemical-mechanical planarization of microelectronic substrates
US6623329B1 (en) 2000-08-31 2003-09-23 Micron Technology, Inc. Method and apparatus for supporting a microelectronic substrate relative to a planarization pad
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
JP3639223B2 (ja) 2001-05-14 2005-04-20 松下電器産業株式会社 埋め込み配線の形成方法
KR100389927B1 (ko) * 2001-06-07 2003-07-04 삼성전자주식회사 다층 배선 구조를 구비한 반도체 소자 및 그 제조 방법
US6589099B2 (en) 2001-07-09 2003-07-08 Motorola, Inc. Method for chemical mechanical polishing (CMP) with altering the concentration of oxidizing agent in slurry
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6677239B2 (en) 2001-08-24 2004-01-13 Applied Materials Inc. Methods and compositions for chemical mechanical polishing
US6722943B2 (en) 2001-08-24 2004-04-20 Micron Technology, Inc. Planarizing machines and methods for dispensing planarizing solutions in the processing of microelectronic workpieces
US6866566B2 (en) 2001-08-24 2005-03-15 Micron Technology, Inc. Apparatus and method for conditioning a contact surface of a processing pad used in processing microelectronic workpieces
US6666749B2 (en) 2001-08-30 2003-12-23 Micron Technology, Inc. Apparatus and method for enhanced processing of microelectronic workpieces
US6531384B1 (en) * 2001-09-14 2003-03-11 Motorola, Inc. Method of forming a bond pad and structure thereof
JP2003100869A (ja) * 2001-09-27 2003-04-04 Toshiba Corp 半導体装置とその製造方法
JP2003188254A (ja) 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
KR100442962B1 (ko) * 2001-12-26 2004-08-04 주식회사 하이닉스반도체 반도체소자의 금속배선 콘택플러그 형성방법
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US7131889B1 (en) 2002-03-04 2006-11-07 Micron Technology, Inc. Method for planarizing microelectronic workpieces
KR100487917B1 (ko) * 2002-05-20 2005-05-06 주식회사 하이닉스반도체 반도체소자의 화학적 기계적 연마방법
US6596640B1 (en) * 2002-06-21 2003-07-22 Intel Corporation Method of forming a raised contact for a substrate
US6869335B2 (en) * 2002-07-08 2005-03-22 Micron Technology, Inc. Retaining rings, planarizing apparatuses including retaining rings, and methods for planarizing micro-device workpieces
US7341502B2 (en) 2002-07-18 2008-03-11 Micron Technology, Inc. Methods and systems for planarizing workpieces, e.g., microelectronic workpieces
US6860798B2 (en) * 2002-08-08 2005-03-01 Micron Technology, Inc. Carrier assemblies, planarizing apparatuses including carrier assemblies, and methods for planarizing micro-device workpieces
US7094695B2 (en) 2002-08-21 2006-08-22 Micron Technology, Inc. Apparatus and method for conditioning a polishing pad used for mechanical and/or chemical-mechanical planarization
US7004817B2 (en) 2002-08-23 2006-02-28 Micron Technology, Inc. Carrier assemblies, planarizing apparatuses including carrier assemblies, and methods for planarizing micro-device workpieces
US6924653B2 (en) * 2002-08-26 2005-08-02 Micron Technology, Inc. Selectively configurable microelectronic probes
US7011566B2 (en) 2002-08-26 2006-03-14 Micron Technology, Inc. Methods and systems for conditioning planarizing pads used in planarizing substrates
US6841991B2 (en) * 2002-08-29 2005-01-11 Micron Technology, Inc. Planarity diagnostic system, E.G., for microelectronic component test systems
US7008299B2 (en) 2002-08-29 2006-03-07 Micron Technology, Inc. Apparatus and method for mechanical and/or chemical-mechanical planarization of micro-device workpieces
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US7074114B2 (en) 2003-01-16 2006-07-11 Micron Technology, Inc. Carrier assemblies, polishing machines including carrier assemblies, and methods for polishing micro-device workpieces
US6884152B2 (en) * 2003-02-11 2005-04-26 Micron Technology, Inc. Apparatuses and methods for conditioning polishing pads used in polishing micro-device workpieces
US6872132B2 (en) 2003-03-03 2005-03-29 Micron Technology, Inc. Systems and methods for monitoring characteristics of a polishing pad used in polishing micro-device workpieces
US6935929B2 (en) 2003-04-28 2005-08-30 Micron Technology, Inc. Polishing machines including under-pads and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
US7131891B2 (en) 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
US20050022456A1 (en) * 2003-07-30 2005-02-03 Babu S. V. Polishing slurry and method for chemical-mechanical polishing of copper
US7186653B2 (en) 2003-07-30 2007-03-06 Climax Engineered Materials, Llc Polishing slurries and methods for chemical mechanical polishing
US7030603B2 (en) 2003-08-21 2006-04-18 Micron Technology, Inc. Apparatuses and methods for monitoring rotation of a conductive microfeature workpiece
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7223693B2 (en) * 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US20070284743A1 (en) * 2003-12-12 2007-12-13 Samsung Electronics Co., Ltd. Fabricating Memory Devices Using Sacrificial Layers and Memory Devices Fabricated by Same
US7291556B2 (en) 2003-12-12 2007-11-06 Samsung Electronics Co., Ltd. Method for forming small features in microelectronic devices using sacrificial layers
US7265050B2 (en) * 2003-12-12 2007-09-04 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers
US7255810B2 (en) * 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US6971945B2 (en) * 2004-02-23 2005-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Multi-step polishing solution for chemical mechanical planarization
US7086927B2 (en) 2004-03-09 2006-08-08 Micron Technology, Inc. Methods and systems for planarizing workpieces, e.g., microelectronic workpieces
US20050233563A1 (en) * 2004-04-15 2005-10-20 Texas Instruments Incorporated Recess reduction for leakage improvement in high density capacitors
US7066792B2 (en) 2004-08-06 2006-06-27 Micron Technology, Inc. Shaped polishing pads for beveling microfeature workpiece edges, and associate system and methods
US7033253B2 (en) 2004-08-12 2006-04-25 Micron Technology, Inc. Polishing pad conditioners having abrasives and brush elements, and associated systems and methods
US7566391B2 (en) 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
CN100442108C (zh) * 2004-09-15 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于硅上液晶器件的铝化学机械抛光回蚀
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US8038752B2 (en) 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
US7778812B2 (en) * 2005-01-07 2010-08-17 Micron Technology, Inc. Selecting data to verify in hardware device model simulation test generation
US7264539B2 (en) 2005-07-13 2007-09-04 Micron Technology, Inc. Systems and methods for removing microfeature workpiece surface defects
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US7438626B2 (en) * 2005-08-31 2008-10-21 Micron Technology, Inc. Apparatus and method for removing material from microfeature workpieces
US7326105B2 (en) 2005-08-31 2008-02-05 Micron Technology, Inc. Retaining rings, and associated planarizing apparatuses, and related methods for planarizing micro-device workpieces
US7294049B2 (en) * 2005-09-01 2007-11-13 Micron Technology, Inc. Method and apparatus for removing material from microfeature workpieces
US7799689B2 (en) * 2006-11-17 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for chemical mechanical polishing including first and second polishing
JP2008135452A (ja) * 2006-11-27 2008-06-12 Fujimi Inc 研磨用組成物及び研磨方法
US7754612B2 (en) 2007-03-14 2010-07-13 Micron Technology, Inc. Methods and apparatuses for removing polysilicon from semiconductor workpieces
US7812104B2 (en) * 2008-01-18 2010-10-12 Exxonmobil Chemical Patents Inc. Production of propylene-based polymers
US8974655B2 (en) * 2008-03-24 2015-03-10 Micron Technology, Inc. Methods of planarization and electro-chemical mechanical polishing processes
KR101477661B1 (ko) * 2008-07-17 2014-12-31 삼성전자주식회사 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법
US8211325B2 (en) * 2009-05-07 2012-07-03 Applied Materials, Inc. Process sequence to achieve global planarity using a combination of fixed abrasive and high selectivity slurry for pre-metal dielectric CMP applications
JP2011029552A (ja) * 2009-07-29 2011-02-10 Renesas Electronics Corp 半導体装置およびその製造方法
US8575022B2 (en) * 2011-11-28 2013-11-05 International Business Machines Corporation Top corner rounding of damascene wire for insulator crack suppression
US9048410B2 (en) * 2013-05-31 2015-06-02 Micron Technology, Inc. Memory devices comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls and methods of forming a memory device comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls
US10163700B2 (en) * 2016-01-06 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure using polishing process
US10658287B2 (en) * 2018-05-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a tapered protruding pillar portion

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3841031A (en) * 1970-10-21 1974-10-15 Monsanto Co Process for polishing thin elements
US4193226A (en) * 1977-09-21 1980-03-18 Kayex Corporation Polishing apparatus
DD239927A3 (de) * 1981-08-12 1986-10-15 Werk Fernsehelektronik Veb Zweischritt-aetzverfahren zur behandlung von a tief iii-b tief v-halbleiterbauelemeten
US4714686A (en) * 1985-07-31 1987-12-22 Advanced Micro Devices, Inc. Method of forming contact plugs for planarized integrated circuits
US5063175A (en) 1986-09-30 1991-11-05 North American Philips Corp., Signetics Division Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material
ATE98814T1 (de) * 1986-09-30 1994-01-15 Philips Nv Verfahren zur herstellung einer planarleiterbahn durch isotropes abscheiden von leitendem werkstoff.
US4811522A (en) * 1987-03-23 1989-03-14 Gill Jr Gerald L Counterbalanced polishing apparatus
JPS6417879A (en) * 1987-07-14 1989-01-20 Sumitomo Metal Mining Co Method for etching gallium phosphide crystal and liquid etchant used therefor
FR2630588A1 (fr) * 1988-04-22 1989-10-27 Philips Nv Procede pour realiser une configuration d'interconnexion sur un dispositif semiconducteur notamment un circuit a densite d'integration elevee
JPH0242728A (ja) * 1988-08-02 1990-02-13 Sony Corp 半導体装置の製造方法
JPH03244130A (ja) 1990-02-22 1991-10-30 Sony Corp 半導体装置の製造方法
FR2659495B1 (fr) * 1990-03-06 1997-01-24 Andre Schiltz Connecteur elastomerique pour circuits integres ou analogues, et son procede de fabrication.
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5055426A (en) * 1990-09-10 1991-10-08 Micron Technology, Inc. Method for forming a multilevel interconnect structure on a semiconductor wafer
US5266446A (en) * 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
US5137597A (en) * 1991-04-11 1992-08-11 Microelectronics And Computer Technology Corporation Fabrication of metal pillars in an electronic component using polishing

Also Published As

Publication number Publication date
JPH10189602A (ja) 1998-07-21
DE4301451A1 (ja) 1993-08-05
USRE39126E1 (en) 2006-06-13
DE4301451C2 (de) 1999-12-02
JPH0821557B2 (ja) 1996-03-04
US5244534A (en) 1993-09-14
JPH05275366A (ja) 1993-10-22

Similar Documents

Publication Publication Date Title
JP3494275B2 (ja) 絶縁層内に導電性プラグを形成する方法および酸化物材料の化学的・機械的プレーナリゼーション方法
US5618381A (en) Multiple step method of chemical-mechanical polishing which minimizes dishing
US5173439A (en) Forming wide dielectric-filled isolation trenches in semi-conductors
KR100359552B1 (ko) 반도체 기판의 표면 평탄화 처리 방법 및 절연층에서의 전도성 플러그 생성 방법
US6828229B2 (en) Method of manufacturing interconnection line in semiconductor device
US6696759B2 (en) Semiconductor device with diamond-like carbon layer as a polish-stop layer
US6235633B1 (en) Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
KR100271769B1 (ko) 반도체소자의 제조방법, 이를 위한 반도체소자 제조용 식각액조성물 및 반도체소자
JP3297359B2 (ja) 半導体ウェハを平坦化する方法
US6632742B2 (en) Method for avoiding defects produced in the CMP process
KR100282240B1 (ko) 화학적기계연마법,화학적기계연마법에사용하는연마제및반도체장치의제조방법
EP0424608B1 (en) Forming wide dielectric filled isolation trenches in semiconductors
KR20040030147A (ko) 평탄화 방법 및 전해 연마의 조합을 이용한 반도체 구조형성 방법
US6355566B1 (en) Method of removing surface defects or other recesses during the formation of a semiconductor device
US5633207A (en) Method of forming a wiring layer for a semiconductor device
JPH0969495A (ja) 半導体装置の製造方法
US6609954B1 (en) Method of planarization
US20030087590A1 (en) Method of planarization
JPH07312366A (ja) 化学的機械的平坦化
KR100284602B1 (ko) 반도체 소자의 텅스텐 플러그 형성 방법
KR100444302B1 (ko) 반도체 소자 제조방법
KR100278882B1 (ko) 반도체 소자의 텅스텐 플러그 형성 방법
KR100218246B1 (ko) 접촉개구부의 제조방법
KR20010058992A (ko) 화학적기계적 연마 방법을 이용한 반도체 소자 제조 방법
JPH04168723A (ja) 半導体装置のコンタクトホール形成方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071121

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081121

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091121

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091121

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101121

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111121

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111121

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121121

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121121

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131121

Year of fee payment: 10

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131121

Year of fee payment: 10