JP2017079289A - 縦型熱処理装置 - Google Patents

縦型熱処理装置 Download PDF

Info

Publication number
JP2017079289A
JP2017079289A JP2015207357A JP2015207357A JP2017079289A JP 2017079289 A JP2017079289 A JP 2017079289A JP 2015207357 A JP2015207357 A JP 2015207357A JP 2015207357 A JP2015207357 A JP 2015207357A JP 2017079289 A JP2017079289 A JP 2017079289A
Authority
JP
Japan
Prior art keywords
substrate
heat treatment
wafer
reaction vessel
treatment apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015207357A
Other languages
English (en)
Other versions
JP6464990B2 (ja
Inventor
啓樹 入宇田
Hiroki Iriuda
啓樹 入宇田
講平 福島
Kohei Fukushima
講平 福島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2015207357A priority Critical patent/JP6464990B2/ja
Priority to KR1020160131300A priority patent/KR102015693B1/ko
Priority to US15/295,183 priority patent/US20170114464A1/en
Priority to CN201610917830.XA priority patent/CN107017181B/zh
Publication of JP2017079289A publication Critical patent/JP2017079289A/ja
Application granted granted Critical
Publication of JP6464990B2 publication Critical patent/JP6464990B2/ja
Priority to US16/661,335 priority patent/US11282721B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

【課題】縦型熱処理装置において、処理ガスを基板間に効率良くかつ早い流速で供給できる技術を提供する。
【解決手段】支柱23と、当該支柱23に沿って複数設けられ、各々基板Wを保持する基板保持部24と、当該支柱23に基板Wごとに設けられ、基板Wよりも外側にその周縁部が張り出すように形成した気流ガイド部と、を備えた基板保持具2と、基板保持具2を縦軸のまわりに回転させる回転機構と、複数の基板Wが保持されている基板保持領域の後方側及び前方側に夫々設けた、処理ガス供給口43及び排気口51と、基板保持領域の左右にて、互いに隣接する気流ガイド部の間の空間に向かって外側から内側に突出して当該空間に臨むように、基板保持具に対して独立して設けた整流部62と、を備えるように装置を構成する。このような構成によって、基板Wの外周への処理ガスの流れを抑える。
【選択図】図3

Description

本発明は、加熱部により囲まれた縦型の反応容器内にて、棚状に配置された複数の基板に対して熱処理を行う技術分野に関する。
半導体製造装置の一つとして、基板保持具に複数の基板を棚状に保持して、加熱部により囲まれた縦型の反応容器内に搬入し、基板に対して成膜処理などの熱処理を行う縦型熱処理装置がある。処理ガスの気流を形成する手法としては、基板の保持領域の後方側及び前方側に夫々ガスインジェクタ及び排気口を位置させ、横方向の処理ガスの気流(いわゆるクロスフロー)を形成する手法が知られている。
このような手法は、各基板に効率的に処理ガスを供給するコンセプトに基づいて検討された技術であるが、基板間の隙間に対して基板と反応容器の壁部との間の隙間が広いため、ガスインジェクタの各ガス吐出孔から吐出された処理ガスは基板の外側の隙間を通りやすい。このため基板間に供給されるガスは低流速で拡散流に近い状態で排気される。
一方、デバイスのデザインが微細化、複雑化し、成膜処理が行われる基板上の被処理面の表面積が大きくなってきている。このため基板の被処理面に沿って流れる処理ガスの流速が遅いと、ガス吐出孔に近い領域と遠い領域との間で成膜ガスの濃度差が大きくなるため、面内の膜厚分布均一性の悪化の要因になり、また成膜速度も遅くなる。
特許文献1には、ガス供給管からウエハの中心側を見る方向を前方とすると、支柱に棚状に基板が保持されたウエハボートの左右両側に、ウエハの外周縁に沿って円弧上の仕切板を配置した構造が記載されている。しかしこの構造は、ウエハの外周縁と仕切板との間が最上段側から最下段側に至るまでいわば空洞になっているので、ウエハ間の領域に対してウエハの外側の領域の低コンダクタンス化を十分図ることができない。
また特許文献2には、ウエハの外側に位置する外環部を備えたウエハボートと、外環部の外端面に対向するように、反応管の内壁に設けられた環状仕切板とを備えた構成が記載されている。しかしこの構成は、外環部と環状仕切板との間の隙間を、ウエハボートが回転しているときに両者が衝突しないようにウエハボートの軸振れを見込んだ寸法に設定する必要があるため、ウエハの外側の領域の低コンダクタンス化を十分図ることができない。
特開2005−56908号公報(段落0013、0014、0020) 特許第4083331号公報(段落0034)
本発明はこのような背景の下になされたものであり、その目的は、縦型熱処理装置において、処理ガスを基板間に効率良くかつ早い流速で供給できる技術を提供することにある。
本発明は、加熱部により囲まれた縦型の反応容器内にて、棚状に配置された複数の基板に対して、一方から他方に向けて横方向に流れる処理ガスの気流を形成して処理を行う縦型熱処理装置において、
支柱と、前記支柱に沿って複数設けられ、各々基板を保持する基板保持部と、前記支柱に基板ごとに設けられ、基板よりも外側にその周縁部が張り出すように形成された気流ガイド部と、を備えた基板保持具と、
前記基板保持具を支持して前記反応容器の下方から搬入するための昇降台と、
前記昇降台に設けられ、前記基板保持具を縦軸の周りに回転させるための回転機構と、
前記複数の基板が保持されている基板保持領域の後方側及び前方側に夫々設けられた、処理ガス供給口及び排気口と、
前記基板保持領域の左右にて、互いに隣接する気流ガイド部の間の空間に向かって外側から内側に突出して当該空間に臨むように、前記基板保持具に対して独立して設けられた整流部と、を備えたことを特徴とする。
本発明によれば、基板保持具の支柱に、基板よりも外側にその周縁部が張り出す気流ガイド部を基板ごとに設けると共に、基板保持領域の左右にて、外側から互いに隣接する気流ガイド部の間の空間に向かって突出して当該空間に臨むように、基板保持具に対して独立して整流部を設けている。従って、処理ガスを基板間に効率良くかつ早い流速で供給することができる。
本発明の第1の実施形態に係る縦型熱処理装置の縦断側面図である。 前記縦型熱処理装置の縦断側面図である。 前記縦型熱処理装置の横断平面図である。 前記縦型熱処理装置に設けられる反応容器に搬入されるウエハボートの斜視図である。 前記ウエハボート及び前記反応容器の縦断側面図である。 前記反応容器内の処理ガスの流れを示す模式図である。 前記第1の実施形態の変形例に係る縦型熱処理装置の横断平面図である。 本発明の第2の実施形態に係る縦型熱処理装置の横断平面図である。 前記第2の実施形態に係る縦型熱処理装置を構成するウエハボート及び反応容器の縦断側面図である。 前記ウエハボートの他の構成を示す概略縦断側面図である。 前記ウエハボートの他の構成を示す概略縦断側面図である。 前記ウエハボートの他の構成を示す概略縦断側面図である。 前記ウエハボートの他の構成を示す概略縦断側面図である。 評価試験の結果を示すグラフ図である。
(第1の実施の形態)
本発明の実施の形態に係る縦型熱処理装置1について、縦断側面図である図1及び図2と、横断平面図である図3とを参照して説明する。縦型熱処理装置1は、CVD(Chemical Vapor Deposition)により円形の基板であるウエハWにSiO(酸化シリコン)膜を成膜する。図中11は例えば石英製の反応容器であり、縦型の有天井の円筒形に構成されており、その下端部は外方へと広がりフランジ12を形成している。ところで図1〜図3について補足しておくと、図1、図2は反応容器11の周方向の互いに異なる位置における縦断側面を示しており、図1は図3のA−A矢視断面図、図2は図3のB−B矢視断面図である。
上記の反応容器11においてフランジ12に囲まれる領域は、基板搬入出口13として開口されており、ボートエレベータ(不図示)に設けられた石英製の円形の蓋体14により、気密に閉じられる。昇降台である蓋体14の中央部には、垂直方向(縦方向)に延びる回転軸15が貫通して設けられ、その上端部には基板保持具であるウエハボート2が支持される。
ウエハボート2について、図4の斜視図も参照しながら説明する。ウエハボート2は石英により構成されており、水平な円形の天板21と、当該天板21と平行な円形の底板22と、垂直な4つの支柱23とを備えている。支柱23は、ウエハボート2の側方から後述のリング板24に対してウエハWの受け渡しを行えるように、天板21及び底板22の周に沿って互いに間隔をおいて設けられている。なお、支柱23は円板21と底板23とリング板24とを連結していればよく、1つのウエハボート2に設けられる本数としては図4の例のように4本であることには限られず、3本以下の本数でもよいし、5本以上の本数でもよい。
天板21と底板22との間は基板保持領域をなし、この基板保持領域には基板保持部をなす円形のリング板24が、互いに間隔をおいて上下方向に多段に設けられている。各リング板24の周縁部は上記の支柱23に貫通され、各リング板24は当該支柱23によって水平に支持されている。リング板24の外形はウエハWの直径よりも大きく形成され、ウエハWは、その中心がリング板24の中心に揃うように、当該リング板24上に保持される。従って、リング板24の周縁部は、保持されたウエハWよりも外側に張り出し、後述するように反応容器11内の気流をガイドするための気流ガイド部を構成する。なお、図示の便宜上、図4では多数設けられるリング板24のうち、2つのみを示している。
ウエハボート2は、当該ウエハボート2が反応容器11内にロード(搬入)され、蓋体14により反応容器11の基板搬入出口13が塞がれる処理位置(図1〜図3で示す位置)と、反応容器11の下方側の基板受け渡し位置との間で昇降自在に構成される。基板受け渡し位置とは、図示しないローディングエリア内に設けられた移載機構により、ウエハボート2に対してウエハWの移載が行なわれる位置である。また、上記の回転軸15は、蓋体14に設けられた回転機構をなすモータ17により鉛直軸周りに回転自在に構成されている。この回転軸15の回転によって、当該回転軸15上に載置されたウエハボート2は、保持された各ウエハWの中心周りに、つまり縦方向の軸周りに回転する。図中16は、蓋体14に設けられる断熱ユニットであり、上下方向に間隔をおいて多数枚重なると共に回転軸15を囲むリング状のプレートにより構成され、ウエハボート2と蓋体14との間を断熱する。
反応容器11の側壁部には、外方に膨らんだ拡張領域31、拡張領域32、拡張領域33が反応容器11の周方向に互いに離れて、平面視時計回りにこの順番で形成されている。拡張領域31〜33は、処理位置におけるウエハボート2の上端の高さから反応容器11の下端に亘って形成された縦長の領域である。拡張領域32には、垂直な棒状に形成されたガスインジェクタ41、42の先端側が、反応容器11の周方向に互いに間隔をおいて設けられている。この垂直なガスインジェクタ41、42の先端側には、処理位置におけるウエハボート2に保持される各ウエハWの中心部へ向けて処理ガスを各々吐出できるように、多数のガス吐出孔43が垂直方向に間隔をおいて開口している。
ガスインジェクタ41の基端側は折り曲げられ、フランジ12を径方向に貫通するように水平に外方へ延び、バルブV1、マスフローコントローラ44をこの順に介してTEOS(テトラエトキシシラン)ガスの供給源45に接続されている。ガスインジェクタ42の基端側は、ガスインジェクタ41の基端側と同様に折り曲げられ、フランジ12を径方向に貫通するように水平に外方へ延び、バルブV2、マスフローコントローラ46をこの順に介してO(酸素)ガスの供給源47に接続されている。つまり、ガスインジェクタ41のガス吐出孔43からは処理ガスとしてTEOSガスが、ガスインジェクタ42のガス吐出孔43からは処理ガスとしてO2ガスが、夫々吐出される。
また、反応容器11の側壁部において拡張領域32に対向する領域は開口し、排気口51として構成されている。排気口51は、上下に延びる矩形のスリット状に形成されており、ウエハボート2の天板21の高さから底板22の高さに亘って形成されている。この排気口51から排気を行うと共に、上記のガスインジェクタ41、42から各処理ガスを供給することで、横方向の処理ガスの気流(クロスフロー)を形成することができる。
反応容器11の外側には、当該反応容器11を囲う有天井の外管52が設けられている。外管52の下端は、反応容器11のフランジ12上に接続されている。図1、2中53は、外管52の周囲を囲む支持板であり、外管52及び反応容器11は当該支持板53に支持されている。外管52において排気口51よりも下方に、排気管54の上流端が接続されている。排気管54の下流端は、バルブなどにより構成される排気量調整部55を介して真空ポンプなどにより構成される排気機構56に接続されている。排気管54によって、外管52と反応容器11との間に形成されるバッファ空間57が排気され、それによって上記の排気口51からの排気が行われる。図1,2中59は加熱部であるヒーターであり、支持板53の上側に外管52の側周を囲むように設けられており、ウエハWが設定温度となるように反応容器11内を加熱する。なお、図3では当該ヒーター59の図示を省略している。
以降は便宜上、縦型熱処理装置1について、上記の拡張領域32が形成されている側を前方側、排気口51が形成されている側を後方側として説明する。上記の拡張領域31、33についてさらに説明すると、これらの拡張領域31、33は、反応容器11の前方側に各々平面視円弧状に形成されている。
ところで、上記の蓋体14には支持部である2本の支柱61が、垂直に上方に延びるように設けられている。上記の反応容器11の下方の基板受け渡し位置におけるウエハボート2に対して、移載機構は後方側からアクセスしてウエハWの受け渡しを行うため、各支柱61はこのウエハWの移載を妨げないように蓋体14の前方側に設けられており、ウエハボート2を処理位置に搬入した際には上記の拡張領域31、33に各々収まるように構成される。この例では各支柱61は、拡張領域の31、33の側周面に沿って平面視円弧状に形成されている。
各支柱61には、整流部である水平な整流板62が多段に設けられている。整流板62は、平面視、拡張領域31、32に沿うように円弧状に形成されており、支柱61から反応容器11の中心側へ水平に突出して多段に設けられている。従って、拡張領域31、33は、反応容器11の側壁部において整流板62に対向する部位が外方へと膨らむことで形成された領域である。また、ウエハボート2は蓋体14に対して回転自在であることに対し、整流板62は蓋体14に対して固定されている。即ち、整流板62はウエハボート2に対して独立して設けられている。各整流板62はリング板62と共にウエハWの外周における隙間を低減し、当該外周における処理ガスのコンダクタンスを低下させる役割を有する。
図5の縦断側面図も参照して、反応容器11と処理位置におけるウエハボート2と整流板62との位置関係について説明する。各整流板62はウエハW及びリング板24と異なる高さに位置しており、各整流板62の先端は、当該ウエハボート2の天板21と当該天板21の直下のリング板24との隙間、隣接するリング板24間の各隙間、底板22と当該底板22の直上のリング板24の隙間に夫々臨むと共にこれらの隙間に進入している。反応容器11における拡張領域31、33の側周面と支柱61との間の距離L1は、例えば9.0mmである。リング板24の周端と整流板62の先端との間の水平方向における距離L2は、例えば10.0mmである。即ち、平面で見ると、リング板24と整流板62とがオーバーラップしている。また、互いに隣接する整流板62と、これらの整流板62間に食い込んだリング板24について、リング板24と整流板62との間に形成される隙間の高さH1は、例えば3mmである。上記の距離L2については大きいほど、上記の高さH1については小さいほど、ウエハWの外周に形成される隙間が小さくなるので、後述する処理ガスの流れの規制をより確実に行うことができる。
ところで、例えば装置1の動作の精度の限界によって、蓋体14の昇降軸の振れが起きることが考えられる。既述の拡張領域31、33は、そのように軸振れが起きた場合に、昇降中の支柱61が、反応容器11の内周に干渉することを防ぐために設けられている。つまり、拡張領域31、33は、ウエハWの外周に整流板62を配置する構成としながらも、上記の反応容器11と当該整流板62を設けるために必要となる支柱61との間の距離L1を担保するために設けられている。また、仮にリング板24と整流板62とが同じ高さに配置される場合には、背景技術の項目で述べたように蓋体14の回転軸の振れを考慮する必要があるため、整流板62とリング板24との干渉を防ぐために整流板62の先端の位置は大きく制限される。しかし、上記のように整流板62がリング板24と異なる高さに配置されていることで、当該整流板62の先端がウエハWの周端付近に位置するように反応容器11の中心側へ向けて比較的大きく突出するように、当該整流板62を構成することができる。つまり、整流板62がリング板24と異なる高さに配置されることで、反応容器11の外周の隙間をより小さくすることができる。それによって、後述する処理ガスの流れの規制をより確実に行うことができる。
また、図1、図2に示すように、上記の縦型熱処理装置1はコンピュータにより構成された制御部5を備えている。前記制御部5は、蓋体14の昇降、ヒーター59によるウエハWの温度、マスフローコントローラ44、46及びバルブV1、V2による各処理ガスの供給量、排気量調整部55による排気量、モータ17による回転軸15の回転などの各動作を制御するように構成されている。そして、制御部5は後述する一連の処理を実行できるようにステップ群が組まれている。このプログラムは例えばハードディスク、フレキシブルディスク、コンパクトディスク、マグネットオプティカルディスク(MO)、メモリーカード等の記憶媒体に格納された状態で制御部5に格納される。
続いて、縦型熱処理装置1にて実施される成膜処理について説明する。先ず、回転軸15上に支持されると共に反応容器11の下方の基板受け渡し位置に位置するウエハボート2にウエハWが搬送されて、各リング板24上にウエハWが棚状に保持される。然る後、蓋体14が上昇し、反応容器11の下方からウエハボート2が処理位置へと上昇すると共に支柱61及び整流板62が拡張領域31、33に収まり、基板搬入出口13が閉鎖される。そして排気口51からの排気によって反応容器11内が所定の圧力の真空雰囲気となるように真空引きされると共に、ヒーター59によってウエハWが所定の温度に加熱される。さらに、モータ17により回転軸15を介してウエハボート2が回転する。
その後、ガスインジェクタ41、42の各吐出孔43から各ウエハWの表面の中心部に向けて処理ガスとしてTEOSガス及びOガスが吐出される。排気口51により排気が行われていることで、TEOSガス及びO2ガスは反応容器11内を、前方側から他方側へ向かって横方向に流れる。図6に、この処理ガスの流れを白抜きの矢印で示している。なお、図6中の鎖線の矢印はウエハWの回転方向を示している。
ウエハWの外周においてはリング板24の周縁部が位置し、さらに拡張領域31、33から、互いに隣接するリング板24間に食い込むように当該リング板24の内方に向かう整流板62が設けられていることで、吐出された各処理ガスから見ると左右のウエハWの外周の隙間が小さく抑えられているため、当該処理ガスのウエハWの外周への拡散は抑えられ、当該処理ガスは隣接するウエハW間の隙間に効率的に供給される。そして、そのようにウエハ間の隙間に供給された処理ガスから見て、ウエハWの外周方向における隙間は整流板62によって比較的小さいことから、当該処理ガスのウエハWの外周方向への拡散が抑えられる。その結果、処理ガスは比較的高い流速で排気口51に向かってウエハWを横断するように流れ、当該排気口51から排気される。
そのようにウエハW表面を流れる処理ガス(TEOSガス及びOガス)は、ウエハWの熱により化学反応を起こして、ウエハW表面にSiOの分子が堆積し、SiO膜が形成される。SiOの分子の堆積が進み、SiO膜が設定された膜厚になると、ガスインジェクタ41、42からの処理ガスの供給が停止し、蓋体14が下降してウエハボート2が反応容器11から搬出されて、成膜処理が終了する。
この縦型熱処理装置1においては、ウエハWを各々保持すると共にウエハWよりも外側に周縁部が張り出すリング板24が支柱23に多段に設けられたウエハボート2を用いて処理が行われる。そして、反応容器11内の処理位置におけるウエハボート2の左右の外側から、互いに隣接するリング板24の間の隙間に向かって突出して当該隙間に臨むように、当該ウエハボート2に対して独立した整流板62が設けられている。このような構成によって、ガスインジェクタ41、42から吐出された処理ガスがウエハWの外周を通過して排気口51へ向かうことを抑えることができるため、各ウエハWの表面に効率良く且つ比較的高い流速で当該処理ガスを供給することができる。そのように効率良く処理ガスが供給されることで、成膜レート(単位時間あたりの膜厚の上昇量)を向上させることができるので、装置1のスループットの上昇を図ることができる。また、比較的高い流速で処理ガスがウエハWに供給されることで、回転するウエハWの前後の直径方向における処理ガスの供給量に偏りが生じることを抑えることができるため、ウエハWの膜厚の均一性の向上を図ることができる。
ところで、上記のように整流板62はウエハWの外周の隙間を小さくして処理ガスの流れを規制するために設けられるものであり、図5に示すように整流板62の先端が隣接するリング板24間に食い込むように整流板62を構成することで、この隙間を非常に小さくできるため、上記の効果をより確実に得ることができる。ただし、そのように食い込むように設けなくても、整流板62を設けたことにより、上記したウエハWの外周の隙間が整流板62を設けない場合に比べて小さくなるため、本発明の効果が得られる。従って、整流板62の先端とリング板24の周端とが互いに重なる、即ち図5中のL2が0mmとなるように整流板62を形成してもよいし、整流板62の先端がリング板24の周端に対して反応容器11の周端側に離れているように、整流板62を形成してもよい。
(第1の実施形態の変形例)
第1の実施形態の変形例に係る縦型熱処理装置6について、図7の横断平面図を参照して、縦型熱処理装置1との差異点を中心に説明する。この縦型熱処理装置6では整流板62の代りに、リング板24の半周に沿った平面視円弧状の整流板63が設けられており、円弧の一端、他端は反応容器11内の左右の一方、他方に夫々設けられ、円弧の長さ方向の中央部は反応容器11内の前方側に設けられている。つまり、整流板63は、平面で見て整流板62よりも長い円弧状に形成されている。このような形状の違いを除いて、整流板63は整流板62と同様に構成されている。整流板63は、例えば反応容器11の周方向に間隔をおいて設けられる棒状の垂直な支柱64によって支持されている。この支柱64は、平面で見た形状が異なることを除いて、上記の支柱61と同様に構成されている。
反応容器11の側壁部において、整流板63に対向する領域が外方へと膨らみ、第1の拡張領域65を形成している。この第1の拡張領域65は、平面で見た形状が上記の拡張領域31、33に比べて異なることを除いて、当該拡張領域31、33と同様に構成されている。また、第1の拡張領域65を形成する反応容器11の側壁部の前方側は、局所的に当該反応容器11の外方へとさらに膨らむことで第2の拡張領域66を形成する。この第2の拡張領域66には、上記の拡張領域32と同様にガスインジェクタ41、42が設けられる。ガスインジェクタ41、42の吐出孔43は、隣接するリング板24間に処理ガスを吐出するように開口されている。
そのように隣接するリング板24間に吐出された処理ガスは、リング板24及び整流板63によってウエハWの外周に形成される隙間が比較的小さいことから、当該外周へ向かうことが抑制され、排気口51へと向かう。従って、この縦型熱処理装置6によれば、縦型熱処理装置1と同様に、各ウエハWに効率良く且つ比較的高い流速で、処理ガスを供給することができる。
(第2の実施形態)
続いて第2の実施形態に係る縦型熱処理装置7について、縦型熱処理装置1との差異点を中心に、図8の横断平面図及び図9の縦断側面図を参照して説明する。縦型熱処理装置7においては、拡張領域31、33及び支柱61及び整流板62が設けられておらず、その代わりに整流板62に相当する整流板71が設けられている。整流板71についても整流板62と同様に多段に設けられており、各整流板71は、反応容器11の内周壁の周方向における互いに離れた4つの領域から、処理位置に配置されたウエハボート2の隣接するリング板24間の隙間に臨むように、当該隙間に向かって突出している。この例では、整流板71は、反応容器11内における前方側の左右及び後方側の左右に夫々設けられている。
リング板24には、整流板71に対応する4つの切欠き25が、周方向に形成されている。反応容器11に対してウエハボート2を搬入及び搬出する際には、図8に示すように切欠き25が整流板71に対向するように、モータ17によってウエハボート2の向きが調整された状態で蓋体14が昇降し、切欠き25を整流板71が通過する。この切欠き25は、既述したウエハボート2の昇降軸の軸振れが起きても、リング板24と整流板71との干渉を防ぐことができるように形成されている。
縦型熱処理装置7においては、縦型熱処理装置1と同様に処理ガスの供給と排気とによる気流の形成と、ウエハボート2の回転と、が行われて、ウエハWが成膜処理される。このウエハボート2の回転によって、平面で見て整流板71の先端部とリング板24の周端部とがオーバーラップしていない状態(図8に示す状態)と、オーバーラップした状態とが交互に繰り返されながら成膜処理が行われる。この成膜処理中、上記のリング板24及び整流板71が設けられていることによって、反応容器11内に吐出された処理ガスから見て、ウエハWの外周に形成される隙間が比較的小さいため、この縦型熱処理装置7では、縦型熱処理装置1と同様に、当該ウエハWの外周へ当該処理ガスが流れることが抑制される。そして、上記の整流板71とリング板24とがオーバーラップしているときには、処理ガスから見た上記のウエハWの外周の隙間がより小さいため、ウエハWの外周への処理ガスの流れが、より確実に抑制される。
上記のように処理ガスの流れが規制されることで、この縦型熱処理装置7も、縦型熱処理装置1と同様の効果を奏する。また、この縦型熱処理装置7の整流板71は反応容器11に固定された構成であるため、ウエハボート2に対してウエハWの移載機構がアクセスする方向に関わらず設けることができる。つまり、反応容器11内の前後左右に自由なレイアウトで配置することができる。
ところで上記の各実施形態において、ウエハボート2のリング板24としてはウエハWの外周の隙間を低減できればよいため、完全な円形である必要は無く、第2の実施形態で示したように切欠き25が形成されていてもよいし、例えば楕円形や矩形であってもよい。また、排気口51に関しては、各ウエハW間における面内の圧力を調整するために任意の形状とすることができる。例えば、下方に向けて先細る楔型のスリット形状としてもよいし、上下に多数の孔が間隔をおいて開口されると共に下方側の孔ほど開口径が縮小された構成であってもよい。また、排気口51の下流の排気の流路としては上記の構成例に限られない。例えば外管52を設けずに排気口51を反応容器11の外側からカバーで覆い、当該カバーで覆われる空間が排気管54で排気される構成であってもよい。また、排気管54の上流端を外管52の前方側に接続し、排気口51に流入した処理ガスが反応容器11の天板上を通過して排気管54に流入する構成とすることもできる。
さらにウエハボートの構成についても、上記の例に限られるものでは無い。図10〜図13は、各々ウエハボート2とは異なる構成のウエハボートを示す概略縦断側面図である。以下、これら図10〜図13のウエハボートについて、ウエハボート2との差異点を中心に説明する。図10では、リング板24の代わりに円板72が設けられたウエハボート73の構成例を示している。円板72の周縁部は、リング板24の周縁部と同様に、載置されるウエハWの周縁の外側に張り出している。また、図11では、リング板24の内周縁に沿ったリング状の突起74が設けられたウエハボート75を示しており、この突起74上にウエハWが保持される。
図12に示すウエハボート76は、各支柱23から当該支柱23に囲まれる領域の中心部へ突出する保持体77を備え、当該保持体77上にウエハWの周縁部が保持される。そしてこのウエハボート76においては、水平な円形のリング板78が、保持体77及びウエハWと異なる高さに多段に設けられており、リング板78の内周縁が各支柱23により支持されている。このリング板78は、リング板24の周縁部と同様に、反応容器11内における気流を規制する。つまりこのウエハボート76においては、基板保持部と気流ガイド部とが個別に形成されている。そして、図13に示すウエハボート79は、ウエハボート76と略同様に構成されており、差異点としてリング板78の代わりに水平な円板70が設けられている。
ところで各ウエハボートに対してウエハWを移載する移載機構については、既述したウエハボートに対してウエハWの移載を行うことができればよく、任意の構成の移載機構が用いられる。一例を挙げると、ウエハWの側面の互いに離れた異なる位置を夫々ウエハWの中心へと向けて押圧することで当該ウエハWを把持する把持機構を備えた移載機構を用いることができる。
上記の縦型熱処理装置1はCVDによる成膜を行う装置として構成されることには限られず、ALD(Atomic Layer Deposition)によって成膜を行う装置として構成されてもよい。また、ガスインジェクタ41、42から吐出された処理ガスがウエハWに供給されるまでにプラズマ化してラジカルを生成するプラズマ化機構を設け、当該ラジカルなどの活性種がウエハWに供給されるようにしてもよい。その場合、上記のように比較的高い流速で隣接するウエハWを処理ガスが通流するため、ウエハWの表面の各部に到達するまでに活性種が失活することを抑えることができるので、装置の高スループット化及びウエハWの面内における処理の均一化を図ることができる。また、縦型熱処理装置1は、成膜処理を行う成膜装置として構成されることには限られず、例えばN(窒素)ガスなどの不活性ガスを供給しながらウエハWを加熱して当該ウエハWの表面を改質する改質装置として構成されてもよい。なお、既述した各実施形態の構成は適宜組み合わせることができる。例えば、縦型熱処理装置1において整流板62を支持する支柱としては、縦型熱処理装置6で説明した支柱64を用いてもよい。
ところで、図3、図8で示した例では、ウエハボート2のリング板24の周縁部は、ウエハWの周の全体から当該ウエハWの周縁よりも外側に張り出すように形成されているが、リング板24としては、既述のように気流を規制する作用が得られればよく、そのようにウエハWの周の全体から周縁部が張り出されるように構成されることには限られない。例えば、リング板24の周縁部に、当該リング板24の中心へと向かうように形成されると共に先端がウエハWの周縁より内側に位置するように切り欠きを形成し、平面で見てウエハWの全周のうちの大部分、具体的には例えば全周のうちの70%以上の領域からリング板24の周縁部がウエハWの外側に張り出されるように当該リング板24を構成するようにしてもよい。この切り欠きは、例えばウエハWの裏面周縁部における互いに離れた複数の領域を各々支持する複数の爪を持つ搬送機構が、リング板24に対して昇降することで、リング板24に対してウエハWを受け渡すにあたり、当該爪が通過できるようにリング板24の周縁部の複数の領域に形成されるものである。
(評価試験)
評価試験1として、既述の縦型熱処理装置1を用いて直径が300mmのウエハWに成膜処理を行うシミュレーションを実行した。そしてこの成膜処理中の隣接するウエハ間の隙間における処理ガスの流速の分布を取得した。また、比較試験1として、整流板62、支柱61、拡張領域31、32が形成されていないことを除いて評価試験1と同じ条件のシミュレーションを実行し、評価試験1と同様に処理ガスの流速の分布を取得した。
図14のグラフは、上記の隙間においてウエハWの左右方向に沿った直径上から取得された流速の分布を示したものである。評価試験1で取得した流速の分布を実線で、比較試験1で取得した流速の分布を点線で夫々示している。グラフの横軸は、流速を検出した位置について、ウエハWの中心(0mとしている)からの距離(単位:m)として示しており、反応容器11の右側を+の値、左側を−の値で夫々表示している。グラフの縦軸は検出された流速(単位:m/秒)を示しており、縦軸におけるaは正の数である。このグラフより、互いに同じ位置における流速を比較すると、評価試験1の方が比較試験1よりも大きい。従ってこの評価試験の結果から、既述した本発明の効果が確認された。
W ウエハ
1 縦型熱処理装置
11 反応容器
14 蓋体
16 モータ
2 ウエハボート
23 支柱
24 リング板
31〜33 拡張領域
61 支柱
62 整流板

Claims (7)

  1. 加熱部により囲まれた縦型の反応容器内にて、棚状に配置された複数の基板に対して、一方から他方に向けて横方向に流れる処理ガスの気流を形成して処理を行う縦型熱処理装置において、
    支柱と、前記支柱に沿って複数設けられ、各々基板を保持する基板保持部と、前記支柱に基板ごとに設けられ、基板よりも外側にその周縁部が張り出すように形成された気流ガイド部と、を備えた基板保持具と、
    前記基板保持具を支持して前記反応容器の下方から搬入するための昇降台と、
    前記昇降台に設けられ、前記基板保持具を縦軸の周りに回転させるための回転機構と、
    前記複数の基板が保持されている基板保持領域の後方側及び前方側に夫々設けられた、処理ガス供給口及び排気口と、
    前記基板保持領域の左右にて、外側から互いに隣接する気流ガイド部の間の空間に向かって突出して当該空間に臨むように、前記基板保持具に対して独立して設けられた整流部と、を備えたことを特徴とする縦型熱処理装置。
  2. 前記整流部は、前記昇降台に支持部を介して設けられ、
    前記反応容器の側壁部における整流部に対向する部位が外方に膨らんでいることを特徴とする請求項1記載の縦型熱処理装置。
  3. 前記整流部は、前記反応容器の側壁部に設けられ、
    前記基板保持部が昇降するときの向きにおいて、平面で見たときに前記気流ガイド部における前記整流部と対向する部位は、切欠き部が形成されていることを特徴とする請求項1記載の縦型熱処理装置。
  4. 前記整流部は、平面で見たときに前記気流ガイド部と重なっていることを特徴とする請求項1ないし3のいずれか一項に記載の縦型熱処理装置。
  5. 前記気流ガイド部は、前記基板保持部の周縁部位に相当することを特徴とする1ないし4のいずれか一項に記載の縦型熱処理装置。
  6. 前記基板保持部は、基板保持具の周方向に沿って環状に形成された環状部材であることを特徴とする請求項5記載の縦型熱処理装置。
  7. 前記基板保持部は、前記気流形成部材とは別個に前記支柱に設けられていることを特徴とする請求項1ないし6のいずれか一項に記載の縦型熱処理装置。
JP2015207357A 2015-10-21 2015-10-21 縦型熱処理装置 Active JP6464990B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2015207357A JP6464990B2 (ja) 2015-10-21 2015-10-21 縦型熱処理装置
KR1020160131300A KR102015693B1 (ko) 2015-10-21 2016-10-11 종형 열처리 장치
US15/295,183 US20170114464A1 (en) 2015-10-21 2016-10-17 Vertical heat treatment apparatus
CN201610917830.XA CN107017181B (zh) 2015-10-21 2016-10-20 立式热处理装置
US16/661,335 US11282721B2 (en) 2015-10-21 2019-10-23 Vertical heat treatment apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015207357A JP6464990B2 (ja) 2015-10-21 2015-10-21 縦型熱処理装置

Publications (2)

Publication Number Publication Date
JP2017079289A true JP2017079289A (ja) 2017-04-27
JP6464990B2 JP6464990B2 (ja) 2019-02-06

Family

ID=58561916

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015207357A Active JP6464990B2 (ja) 2015-10-21 2015-10-21 縦型熱処理装置

Country Status (4)

Country Link
US (2) US20170114464A1 (ja)
JP (1) JP6464990B2 (ja)
KR (1) KR102015693B1 (ja)
CN (1) CN107017181B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019004096A (ja) * 2017-06-19 2019-01-10 東京エレクトロン株式会社 基板保持具及びこれを用いた基板処理装置
US10403531B2 (en) 2017-08-31 2019-09-03 Toshiba Memory Corporation Semiconductor manufacturing apparatus with supporting columns and tables
WO2020059093A1 (ja) * 2018-09-20 2020-03-26 株式会社Kokusai Electric 基板処理装置
WO2022137301A1 (ja) * 2020-12-21 2022-06-30 株式会社Kokusai Electric 基板処理装置、基板保持具、半導体装置の製造方法及びプログラム
WO2022195886A1 (ja) * 2021-03-19 2022-09-22 株式会社Kokusai Electric 基板保持具、基板処理装置、半導体装置の製造方法およびプログラム
WO2022202334A1 (ja) * 2021-03-22 2022-09-29 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6468901B2 (ja) * 2015-03-19 2019-02-13 東京エレクトロン株式会社 基板処理装置
JP6368686B2 (ja) * 2015-06-10 2018-08-01 東京エレクトロン株式会社 熱処理装置、熱処理装置の調整方法、及び、プログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP6964475B2 (ja) * 2017-09-19 2021-11-10 東京エレクトロン株式会社 基板保持具及び基板処理装置
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7055075B2 (ja) * 2018-07-20 2022-04-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
JP7126425B2 (ja) * 2018-10-16 2022-08-26 東京エレクトロン株式会社 基板処理装置、基板の搬入方法及び基板処理方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR20200057951A (ko) * 2018-11-19 2020-05-27 주식회사 엔씨디 대면적 기판용 수평형 원자층 증착장치
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023159478A (ja) * 2020-09-25 2023-11-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318551A (ja) * 1993-05-10 1994-11-15 Toshiba Corp 薄膜の形成方法およびその装置
JP2000208425A (ja) * 1998-01-16 2000-07-28 Ftl:Kk 半導体装置の製造方法、半導体装置の製造装置ならびにウェ―ハ支持治具及び出入れ治具
JP2010251452A (ja) * 2009-04-14 2010-11-04 Elpida Memory Inc 成膜装置および成膜方法
JP2011165964A (ja) * 2010-02-10 2011-08-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2011222677A (ja) * 2010-04-07 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置
JP2012069831A (ja) * 2010-09-27 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3100252B2 (ja) * 1992-05-26 2000-10-16 東京エレクトロン株式会社 被処理体用ボート及びそれを用いた被処理体の移し換え方法ならびに熱処理装置
JPH083331A (ja) 1994-06-17 1996-01-09 Tokuyama Corp 二軸延伸ポリプロピレンフィルム
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP2005056908A (ja) 2003-08-05 2005-03-03 Hitachi Kokusai Electric Inc 基板処理装置
JP4426518B2 (ja) 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
WO2009090504A1 (en) * 2008-01-18 2009-07-23 Nxp B.V. Apparatus for providing material on a deposition surface
JP2012195562A (ja) 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 異径基板用アタッチメントおよび基板処理装置ならびに基板若しくは半導体デバイスの製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318551A (ja) * 1993-05-10 1994-11-15 Toshiba Corp 薄膜の形成方法およびその装置
JP2000208425A (ja) * 1998-01-16 2000-07-28 Ftl:Kk 半導体装置の製造方法、半導体装置の製造装置ならびにウェ―ハ支持治具及び出入れ治具
JP2010251452A (ja) * 2009-04-14 2010-11-04 Elpida Memory Inc 成膜装置および成膜方法
JP2011165964A (ja) * 2010-02-10 2011-08-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2011222677A (ja) * 2010-04-07 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置
JP2012069831A (ja) * 2010-09-27 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019004096A (ja) * 2017-06-19 2019-01-10 東京エレクトロン株式会社 基板保持具及びこれを用いた基板処理装置
US10403531B2 (en) 2017-08-31 2019-09-03 Toshiba Memory Corporation Semiconductor manufacturing apparatus with supporting columns and tables
US10854488B2 (en) 2017-08-31 2020-12-01 Toshiba Memory Corporation Wafer conveying apparatus and wafer conveying method
WO2020059093A1 (ja) * 2018-09-20 2020-03-26 株式会社Kokusai Electric 基板処理装置
JPWO2020059093A1 (ja) * 2018-09-20 2021-09-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、及びプログラム
JP7229266B2 (ja) 2018-09-20 2023-02-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、及びプログラム
US11898247B2 (en) 2018-09-20 2024-02-13 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2022137301A1 (ja) * 2020-12-21 2022-06-30 株式会社Kokusai Electric 基板処理装置、基板保持具、半導体装置の製造方法及びプログラム
WO2022195886A1 (ja) * 2021-03-19 2022-09-22 株式会社Kokusai Electric 基板保持具、基板処理装置、半導体装置の製造方法およびプログラム
TWI797884B (zh) * 2021-03-19 2023-04-01 日商國際電氣股份有限公司 基板保持具、基板處理裝置、半導體裝置的製造方法及程式
WO2022202334A1 (ja) * 2021-03-22 2022-09-29 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
US20170114464A1 (en) 2017-04-27
US11282721B2 (en) 2022-03-22
CN107017181A (zh) 2017-08-04
KR102015693B1 (ko) 2019-08-28
KR20170046577A (ko) 2017-05-02
CN107017181B (zh) 2021-02-12
JP6464990B2 (ja) 2019-02-06
US20200058526A1 (en) 2020-02-20

Similar Documents

Publication Publication Date Title
JP6464990B2 (ja) 縦型熱処理装置
JP5589878B2 (ja) 成膜装置
KR102207673B1 (ko) 성막 장치, 성막 방법 및 단열 부재
US10475641B2 (en) Substrate processing apparatus
TWI701356B (zh) 基板處理裝置、反應管、半導體裝置之製造方法及記錄媒體
CN107240567B (zh) 基板处理装置
US10961625B2 (en) Substrate processing apparatus, reaction tube and method of manufacturing semiconductor device
JP5280964B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP2016143836A (ja) 基板処理装置
JP2010114392A (ja) ガスインジェクター及び成膜装置
US10358720B2 (en) Substrate processing apparatus
JP6600408B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
TWI764225B (zh) 基板處理裝置、半導體裝置之製造方法、基板保持器具及程式
CN104681467A (zh) 支承体构造及处理装置
JP2010135510A (ja) 成膜装置
KR101917414B1 (ko) 노즐 및 이를 사용한 기판 처리 장치
CN109478500B (zh) 基板处理方法及基板处理装置
KR20180106921A (ko) 가스 공급 부재 및 가스 처리 장치
JP5403113B2 (ja) 成膜装置
US20190318945A1 (en) Heat treatment apparatus and heat treatment method
JP2018021216A (ja) 成膜装置
WO2020241461A1 (ja) ステージ構造体、基板処理装置及びステージ構造体の制御方法
JP5527106B2 (ja) 真空処理装置
JP2015185750A (ja) 真空処理装置
JP2019057535A (ja) 基板保持具及び基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171201

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20180112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181002

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181211

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181224

R150 Certificate of patent or registration of utility model

Ref document number: 6464990

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250