CN107017181B - 立式热处理装置 - Google Patents

立式热处理装置 Download PDF

Info

Publication number
CN107017181B
CN107017181B CN201610917830.XA CN201610917830A CN107017181B CN 107017181 B CN107017181 B CN 107017181B CN 201610917830 A CN201610917830 A CN 201610917830A CN 107017181 B CN107017181 B CN 107017181B
Authority
CN
China
Prior art keywords
substrate
wafer
substrate holding
vertical heat
reaction vessel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610917830.XA
Other languages
English (en)
Other versions
CN107017181A (zh
Inventor
入宇田启树
福岛讲平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN107017181A publication Critical patent/CN107017181A/zh
Application granted granted Critical
Publication of CN107017181B publication Critical patent/CN107017181B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

本发明提供一种立式热处理装置,该立式热处理装置包括:基板保持器具,其具有:支柱;基板保持部,其沿着所述支柱设有多个,用于分别保持基板;气流引导部,其针对每个基板设于所述支柱,以其周缘部向比基板靠外侧的位置伸出的方式形成;升降台,其用于支承所述基板保持器具而将所述基板保持器具从所述反应容器的下方输入所述反应容器;旋转机构,其设于所述升降台,用于使所述基板保持器具绕纵轴旋转;处理气体供给口和排气口,其分别设于保持有所述多个基板的基板保持区域的后方侧和前方侧;整流部,其以在所述基板保持区域的左右从外侧朝向彼此相邻的气流引导部之间的空间突出而面对该空间的方式相对于所述基板保持器具独立地设置。

Description

立式热处理装置
技术领域
本发明涉及在由加热部包围的立式的反应容器内对配置成搁板状的多个基板进行热处理的立式热处理装置。
背景技术
作为半导体制造装置之一,存在如下立式热处理装置:将多个基板呈搁板状保持于基板保持器具,并将多个基板向由加热部包围的立式的反应容器内输入,对基板进行成膜处理等热处理。作为形成处理气体的气流的方法,公知有如下方法:分别使气体喷射器和排气口位于基板的保持区域的后方侧和前方侧,形成横向的处理气体的气流(所谓的横向流动)。
这样的方法是基于向各基板高效地供给处理气体的想法研究出的技术,但相对于基板间的间隙而言,基板与反应容器的壁部之间的间隙较宽,因此,从气体喷射器的各气体喷出孔喷出来的处理气体易于穿过基板的外侧的间隙。因此,向基板间供给的气体以低流速且接近扩散流的状态被排气。
另一方面,器件的设计图案微细化、复杂化,要进行成膜处理的基板上的被处理面的表面积变大。因此,若沿着基板的被处理面流动的处理气体的流速较慢,则成膜气体的浓度在靠近气体喷出孔的区域与远离气体喷出孔的区域之间的浓度差变大,因此,成为面内的膜厚分布均匀性恶化的主要原因,而且,成膜速度也变慢。
例如,公知有如下构造:若将从气体供给管观察晶圆的中心侧的方向设为前方,则在基板呈搁板状保持于支柱的晶圆舟皿的左右两侧,沿着晶圆的外周缘配置有圆弧状的分隔板。不过,在该构造中,晶圆的外周缘与分隔板之间从最上层侧到最下层侧可以说变成空腔,因此,相对于晶圆间的区域而言,无法充分地谋求晶圆的外侧的区域的低电导率(日文:コンダクタンス)化。
另外,公知有如下结构,该结构包括:晶圆舟皿,其具有位于晶圆的外侧的外环部;以及以与外环部的外端面相对的方式设于反应管的内壁的环状分隔板。不过,该结构需要将外环部与环状分隔板之间的间隙设定成预估到晶圆舟皿的轴偏摆的尺寸,以使晶圆舟皿旋转时两者不发生碰撞,因此,无法充分地谋求晶圆的外侧的区域的低电导率化。
发明内容
发明要解决的问题
本发明提供一种在立式热处理装置中能够将处理气体向基板间效率良好且以较快的流速供给的技术。
用于解决问题的方案
本发明是一种立式热处理装置,在由加热部包围的立式的反应容器内形成从一侧朝向另一侧横向流动的处理气体的气流而对呈搁板状配置的多个基板进行处理,该立式热处理装置包括:
基板保持器具,其具有:支柱;基板保持部,其沿着所述支柱设有多个,用于分别保持基板;气流引导部,其针对每个基板设于所述支柱,以其周缘部向比基板靠外侧的位置伸出的方式形成;
升降台,其用于支承所述基板保持器具而将所述基板保持器具从所述反应容器的下方输入所述反应容器;
旋转机构,其设于所述升降台,用于使所述基板保持器具绕纵轴旋转;
处理气体供给口和排气口,其分别设于保持有所述多个基板的基板保持区域的后方侧和前方侧;
整流部,其以在所述基板保持区域的左右朝向彼此相邻的气流引导部之间的空间从外侧向内侧突出而面对该空间的方式相对于所述基板保持器具独立地设置。
附图说明
附图作为本说明书的一部分被编入来表示本发明的实施方式,与上述的通常的说明和随后论述的实施方式的详细内容一起说明本发明的概念。
图1是本发明的第1实施方式的立式热处理装置的纵剖侧视图。
图2是所述立式热处理装置的纵剖侧视图。
图3是所述立式热处理装置的横剖俯视图。
图4是要向设于所述立式热处理装置的反应容器输入的晶圆舟皿的立体图。
图5是所述晶圆舟皿和所述反应容器的纵剖侧视图。
图6是表示所述反应容器内的处理气体的流动的示意图。
图7是所述第1实施方式的变形例的立式热处理装置的横剖俯视图。
图8是本发明的第2实施方式的立式热处理装置的横剖俯视图。
图9是构成所述第2实施方式的立式热处理装置的晶圆舟皿和反应容器的纵剖侧视图。
图10是表示所述晶圆舟皿的其他结构的概略纵剖侧视图。
图11是表示所述晶圆舟皿的其他结构的概略纵剖侧视图。
图12是表示所述晶圆舟皿的其他结构的概略纵剖侧视图。
图13是表示所述晶圆舟皿的其他结构的概略纵剖侧视图。
图14是表示评价试验的结果的曲线图。
具体实施方式
(第1实施方式)
以下,参照附图对本发明的实施方式进行说明。在下述的详细的说明中,为了能够充分地理解本发明,提供了很多具体的详细内容。然而,没有这样的详细的说明,本领域技术人员能够实现本发明是显而易见的。在其他例子中,为了避免难以理解各种实施方式的情况,没有详细地示出公知的方法、顺序、系统、构成要素。
参照作为纵剖侧视图的图1和图2、作为横剖俯视图的图3对本发明的实施方式的立式热处理装置1进行说明。立式热处理装置1利用CVD(Chemical Vapor Deposition,化学气相沉积)在作为圆形的基板的晶圆W形成SiO2(氧化硅)膜。在图中附图标记11是例如石英制的反应容器,构成为立式的有顶的圆筒形,其下端部向外侧扩展,形成了凸缘12。不过,若对图1~图3进行补充,则图1、图2表示反应容器11的周向的彼此不同的位置处的纵剖侧面,图1是图3的Ⅰ-Ⅰ向视剖视图,图2是图3的Ⅱ-Ⅱ向视剖视图。
上述的反应容器11的被凸缘12包围的区域开口成基板输入输出口13,被设于舟皿升降机(未图示)的石英制的圆形的盖体14气密地封闭。在作为升降台的盖体14的中央部,沿着铅垂方向(纵方向)延伸的旋转轴15贯通地设置,可在该旋转轴15的上端部支承作为基板保持器具的晶圆舟皿2。
也参照图4的立体图对晶圆舟皿2进行说明。晶圆舟皿2由石英形成,具有水平的圆形的顶板21、与该顶板21平行的圆形的底板22、和铅垂的4个支柱23。支柱23沿着顶板21和底板22的圆周彼此隔开间隔地设置,以能够从晶圆舟皿2的侧方相对于后述的环板24进行晶圆W的交接。此外,支柱23只要将顶板21、底板22以及环板24连结起来即可,作为设于1个晶圆舟皿2的支柱23的根数,并不如图4的例子那样限于4根,既可以是3根以下的根数,也可以是5根以上的根数。
顶板21与底板22之间形成基板保持区域,在该基板保持区域中,形成基板保持部的圆形的环板24彼此隔开间隔沿着上下方向设为多层。各环板24的周缘部被上述的支柱23贯通,各环板24由该支柱23水平地支承。环板24的外形形成得比晶圆W的直径大,晶圆W以其中心与环板24的中心对齐的方式保持于该环板24上。因而,环板24的周缘部向比所保持的晶圆W靠外侧的位置伸出、并如随后论述那样构成用于对反应容器11内的气流进行引导的气流引导部。此外,为了图示方便,在图4仅示出设有很多的环板24中的两个。
晶圆舟皿2构成为,在该晶圆舟皿2向反应容器11内装载(输入)、反应容器11的基板输入输出口13被盖体14封堵的处理位置(图1~图3中所示的位置)与反应容器11的下方侧的基板交接位置之间升降自由。基板交接位置是指利用设于未图示的装载区域内的移载机构相对于晶圆舟皿2进行晶圆W的移载的位置。另外,上述的旋转轴15构成为,利用设于盖体14的形成旋转机构的马达17绕铅垂轴线旋转自由。利用该旋转轴15的旋转,载置到该旋转轴15上的晶圆舟皿2绕所保持的各晶圆W的中心、即纵方向的轴线旋转。图中附图标记16是设于盖体14的绝热单元,由沿着上下方向隔开间隔叠置多张并且包围旋转轴15的环状的板构成,用于对晶圆舟皿2与盖体14之间进行绝热。
在反应容器11的侧壁部,向外方鼓起的扩张区域31、扩张区域32、扩张区域33沿着反应容器11的周向彼此分开,俯视沿着顺时针以该顺序形成。扩张区域31~33是从处理位置处的晶圆舟皿2的上端的高度到反应容器11的下端形成的纵长的区域。在扩张区域32,形成为铅垂的棒状的气体喷射器41、42的顶端侧沿着反应容器11的周向彼此隔开间隔地设置。在该铅垂的气体喷射器41、42的顶端侧,许多气体喷出孔43沿着铅垂方向隔开间隔地开设,以便能够分别朝向保持于处理位置的晶圆舟皿2的各晶圆W的中心部喷出处理气体。
气体喷射器41的基端侧被弯折,以沿着径向贯通凸缘12的方式沿着水平向外方延伸,按照阀V1、质量流量控制器44的顺序经由阀V1、质量流量控制器44与TEOS(四乙氧基硅烷)气体的供给源45连接。气体喷射器42的基端侧与气体喷射器41的基端侧同样地被弯折,以沿着径向贯通凸缘12的方式水平地向外方延伸,按照阀V2、质量流量控制器46的顺序经由阀V2、质量流量控制器46与O2(氧)气体的供给源47连接。也就是说,从气体喷射器41的气体喷出孔43喷出TEOS气体作为处理气体,从气体喷射器42的气体喷出孔43喷出O2气体作为处理气体。
另外,反应容器11的侧壁部的与扩张区域32相对的区域开口,构成为排气口51。排气口51形成为沿着上下延伸的矩形的狭缝状,从晶圆舟皿2的顶板21的高度形成到底板22的高度。从该排气口51进行排气,并且从上述的气体喷射器41、42供给各处理气体,从而能够形成横向的处理气体的气流(横向流动)。
在反应容器11的外侧设有围绕该反应容器11的有顶的外管52。外管52的下端连接于反应容器11的凸缘12上。图1、图2中附图标记53是包围在外管52的周围的支承板,外管52和反应容器11被支承于该支承板53。在外管52的比排气口51靠下方的位置连接有排气管54的上游端。排气管54的下游端借助由阀等构成的排气量调整部55与由真空泵等构成的排气机构56连接。利用排气管54对在外管52与反应容器11之间形成的缓冲空间57进行排气,由此,能够从上述的排气口51进行排气。图1、图2中附图标记59是作为加热部的加热器,在支承板53的上侧以包围外管52的侧周的方式设置,对反应容器11内进行加热,以使晶圆W成为设定温度。此外,在图3中,省略了该加热器59的图示。
以后,出于方便,将形成有上述的扩张区域32的那一侧设为前方侧、将形成有排气口51的那一侧设为后方侧来对立式热处理装置1进行说明。若对上述的扩张区域31、33进一步进行说明,则这些扩张区域31、33分别在反应容器11的前方侧形成为俯视圆弧状。
不过,在上述的盖体14上,以向铅垂上方延伸的方式设置有作为支承部的两根支柱61。移载机构从后方侧进入上述的反应容器11的下方的基板交接位置处的晶圆舟皿2而进行晶圆W的交接,因此,各支柱61以不妨碍该晶圆W的移载的方式设于盖体14的前方侧,并且各支柱61构成为,在将晶圆舟皿2输入到处理位置之际分别收纳于上述的扩张区域31、33。在该例子中,各支柱61沿着扩张区域31、33的侧周面形成为俯视圆弧状。
在各支柱61上呈多层设有作为整流部的水平的整流板62。整流板62以俯视时沿着扩张区域31、33的方式形成为圆弧状,以从支柱61向反应容器11的中心侧水平地突出的方式呈多层设置。因而,扩张区域31、33是反应容器11的侧壁部中的与整流板62相对的部位向外方鼓起而形成的区域。另外,晶圆舟皿2相对于盖体14旋转自由,而整流板62相对于盖体14固定。即,整流板62相对于晶圆舟皿2独立地设置。各整流板62具有与环板24一起减小晶圆W的外周的间隙、使该外周处的处理气体的电导率降低的作用。
也参照图5的纵剖侧视图来对反应容器11与处理位置处的晶圆舟皿2和整流板62之间的位置关系进行说明。各整流板62位于与晶圆W和环板24不同的高度,各整流板62的顶端分别面对该晶圆舟皿2的顶板21与该顶板21的正下方的环板24之间的间隙、相邻的环板24之间的各间隙、底板22与该底板22的正上方的环板24之间的间隙、并且进入这些间隙。反应容器11中的扩张区域31、33的侧周面与支柱61之间的距离L1例如是9.0mm。环板24的周端与整流板62的顶端之间的水平方向上的距离L2例如是10.0mm。即,若俯视观察,则环板24与整流板62重叠。另外,对于彼此相邻的整流板62与侵入这些整流板62之间的环板24,在环板24与整流板62之间形成的间隙的高度H1例如是3mm。上述的距离L2越大,上述的高度H1越小,在晶圆W的外周形成的间隙越小,由此,能够更可靠地进行随后论述的处理气体的流动的限制。
不过,考虑到例如由于装置1的动作的精度的极限引起盖体14的升降轴的偏摆。已述的扩张区域31、33是为了在如此引起了轴偏摆的情况下防止升降中的支柱61与反应容器11的内周干涉而设置的。也就是说,扩张区域31、33虽然设为在晶圆W的外周配置整流板62的结构,但也是为了确保上述的反应容器11和设置该整流板62所需的支柱61之间的距离L1而设置的。另外,假设在环板24和整流板62配置于相同的高度的情况下,如在背景技术中所述那样需要考虑盖体14的旋转轴的偏摆,因此,为了防止整流板62与环板24之间的干涉,整流板62的顶端的位置受到很大限制。不过,通过如上述那样整流板62配置于与环板24不同的高度,能够以该整流板62的顶端朝向反应容器11的中心侧较大程度突出而位于晶圆W的周端附近的方式构成该整流板62。也就是说,通过整流板62配置于与环板24不同的高度,能够使反应容器11的外周的间隙更小。由此,能够更可靠地进行随后论述的处理气体的流动的限制。
另外,如图1、图2所示,上述的立式热处理装置1具有由计算机构成的控制部5。所述控制部5构成为,控制盖体14的升降、基于加热器59的晶圆W的温度、基于质量流量控制器44、46和阀V1、V2的各处理气体的供给量、基于排气量调整部55的排气量、基于马达17的旋转轴15的旋转等各动作。并且,控制部5编入有步骤组,以便能够执行后述的一系列的处理。该程序以储存于例如硬盘、软盘、光盘、磁光盘(MO)、存储卡等存储介质的状态下储存于控制部5。
接下来,对由立式热处理装置1实施的成膜处理进行说明。首先,将晶圆W向支承于旋转轴15上并且位于反应容器11的下方的基板交接位置的晶圆舟皿2输送,晶圆W呈搁板状保持于各环板24上。然后,盖体14上升,晶圆舟皿2从反应容器11的下方上升到处理位置并且支柱61和整流板62收纳于扩张区域31、33,基板输入输出口13被封闭。并且,利用来自排气口51的排气进行抽真空,以使反应容器11内成为预定的压力的真空气氛,并且晶圆W被加热器59加热成预定的温度。而且,利用马达17借助旋转轴15使晶圆舟皿2旋转。
之后,从气体喷射器41、42的各喷出孔43朝向各晶圆W的表面的中心部喷出TEOS气体和O2气体作为处理气体。通过利用排气口51进行排气,TEOS气体和O2气体在反应容器11内从前方侧朝向另一侧横向流动。图6中以空心箭头表示该处理气体的流动。此外,图6中的虚线的箭头表示晶圆W的旋转方向。
在晶圆W的外周设有朝向环板24的内方的整流板62,该整流板62设置成位于环板24的周缘部所处的位置、并且该整流板62从扩张区域31、33侵入彼此相邻的环板24之间,从而若从喷出来的各处理气体观察,左右的晶圆W的外周的间隙被抑制得较小,因此,该处理气体的向晶圆W的外周的扩散受到抑制,该处理气体能够向相邻的晶圆W之间的间隙高效地供给。并且,从如此供给到晶圆之间的间隙的处理气体观察,晶圆W的外周向上的间隙由于整流板62而比较小,因此,该处理气体的向晶圆W的外周方向的扩散受到抑制。其结果,处理气体以比较高的流速朝向排气口51以横穿晶圆W的方式流动,被从该排气口51排出。
如此在晶圆W表面流动的处理气体(TEOS气体和O2气体)由于晶圆W的热而发生化学反应,SiO2的分子堆积于晶圆W表面,形成SiO2膜。若SiO2的分子的堆积得以进展、SiO2膜成为所设定的膜厚,则来自气体喷射器41、42的处理气体的供给停止,盖体14下降而晶圆舟皿2从反应容器11输出,成膜处理结束。
在该立式热处理装置1中,能够使用各自保持晶圆W并且周缘部向比晶圆W靠外侧的位置伸出的环板24呈多层设于支柱23的晶圆舟皿2来进行处理。并且,以从反应容器11内的处理位置处的晶圆舟皿2的左右的外侧朝向彼此相邻的环板24之间的间隙突出而面对该间隙的方式设置有相对于该晶圆舟皿2独立的整流板62。利用这样的结构能够抑制从气体喷射器41、42喷出来的处理气体通过晶圆W的外周而朝向排气口51流动,因此能够效率良好且以比较高的流速向各晶圆W的表面供给该处理气体。通过如此效率良好地供给处理气体,能够提高成膜速度(每单位时间的膜厚的上升量),因此,能够谋求装置1的生产率的上升。另外,通过以比较高的流速向晶圆W供给处理气体,能够抑制在旋转的晶圆W的前后的直径方向的处理气体的供给量产生偏差,因此,能够谋求晶圆W的膜厚的均匀性的提升。
不过,如上述那样整流板62是为了缩小晶圆W的外周的间隙来限制处理气体的流动而设置的,通过如图5所示那样以整流板62的顶端侵入相邻的环板24之间的方式构成整流板62,从而能够使该间隙非常小,因此,能够更可靠地获得上述的效果。不过,即使不是这样侵入地设置,通过设置了整流板62,上述的晶圆W的外周的间隙小于没有设置整流板62的情况的间隙,因此,可获得本发明的效果。因而,既可以以整流板62的顶端与环板24的周端彼此重叠、即图5中的L2成为0mm的方式形成整流板62,也可以以整流板62的顶端向反应容器11的周端侧与环板24的周端分开的方式形成整流板62。
(第1实施方式的变形例)
参照图7的横剖俯视图并以与立式热处理装置1之间的不同点为中心对第1实施方式的变形例的立式热处理装置6进行说明。在该立式热处理装置6中,替代整流板62,设有沿着环板24的半周的俯视圆弧状的整流板63,圆弧的一端设于反应容器11内的左右的一侧,圆弧的另一端设于反应容器11内的左右的另一侧,圆弧的长度方向的中央部设于反应容器11内的前方侧。也就是说,整流板63在俯视观察形成为比整流板62长的圆弧状。除了这样的形状的差异,整流板63与整流板62同样地构成。整流板63由例如沿着反应容器11的周向隔开间隔地设置的棒状的铅垂的支柱64支承。该支柱64除了在俯视观察的形状不同之外与上述的支柱61同样地构成。
在反应容器11的侧壁部的与整流板63相对的区域向外方鼓起,形成了第1扩张区域65。该第1扩张区域65除了俯视观察到的形状与上述的扩张区域31、33的形状不同之外与该扩张区域31、33同样地构成。另外,形成第1扩张区域65的反应容器11的侧壁部的前方侧通过局部地进一步向该反应容器11的外方鼓起,形成第2扩张区域66。在该第2扩张区域66中,与上述的扩张区域32同样地设有气体喷射器41、42。气体喷射器41、42的喷出孔43以向相邻的环板24之间喷出处理气体的方式开设。
由于环板24和整流板63而使在晶圆W的外周形成的间隙比较小,因此,如此喷出到相邻的环板24之间的处理气体朝向该外周流动的情况受到抑制,朝向排气口51流动。因而,根据该立式热处理装置6,与立式热处理装置1同样地,能够效率良好且以比较高的流速向各晶圆W供给处理气体。
(第2实施方式)
接下来,参照图8的横剖俯视图和图9的纵剖侧视图并以与立式热处理装置1之间的不同点为中心对第2实施方式的立式热处理装置7进行说明。在立式热处理装置7中,没有设置扩张区域31、33、支柱61以及整流板62,作为替代设置有与整流板62相当的整流板71。对于整流板71,也与整流板62同样呈多层设置,各整流板71以从反应容器11的内周壁的周向上的彼此分开的4个区域面对配置到处理位置的晶圆舟皿2的相邻的环板24之间的间隙的方式朝向该间隙突出。在该例子中,整流板71分别设于反应容器11内的前方侧的左右和后方侧的左右。
在环板24上,沿着周向形成有与整流板71相对应的4个缺口25。在相对于反应容器11输入和输出晶圆舟皿2之际,如图8所示那样以缺口25与整流板71相对的方式利用马达17在晶圆舟皿2的朝向被调整了的状态下使盖体14升降,整流板71在缺口25通过。该缺口25以即使引起已述的晶圆舟皿2的升降轴的轴偏摆、也能够防止环板24与整流板71之间的干涉的方式形成。
在立式热处理装置7中,与立式热处理装置1同样地利用处理气体的供给和排气形成气流,进行晶圆舟皿2的旋转,晶圆W被成膜处理。由于该晶圆舟皿2的旋转,能够一边交替反复在俯视观察时整流板71的顶端部与环板24的周端部不重叠的状态(图8所示的状态)、重叠的状态一边进行成膜处理。在该成膜处理中,通过设置有上述的环板24和整流板71,从喷出到反应容器11内的处理气体观察,在晶圆W的外周形成的间隙比较小,因此,在该立式热处理装置7中,与立式热处理装置1同样地,该处理气体向该晶圆W的外周流动的情况受到抑制。并且,在上述的整流板71与环板24重叠着时,从处理气体观察到的上述的晶圆W的外周的间隙更小,因此,能够更可靠地抑制处理气体向晶圆W的外周流动。
通过如上述那样限制处理气体的流动,该立式热处理装置7也起到与立式热处理装置1同样的效果。另外,该立式热处理装置7的整流板71是固定于反应容器11的结构,因此,能够与晶圆W的移载机构进入晶圆舟皿2的方向无关地设置。也就是说,能够以自由的布局配置于反应容器11内的前后左右。
不过,在上述的各实施方式中,作为晶圆舟皿2的环板24,能够使晶圆W的外周的间隙减小即可,因此无需是完全的圆形,既可以如在第2实施方式中所示那样形成有缺口25,也可以例如是椭圆形、矩形。另外,关于排气口51,为了对各晶圆W之间的面内的压力进行调整,能够设为任意的形状。例如,既可以设为顶端朝向下方变细的楔型的狭缝形状,也可以是沿着上下隔开间隔地开设许多孔并且越是下方侧的孔开口径越缩小的结构。另外,作为排气口51的下游的排气的流路,并不限于上述的结构例。例如也可以是如下结构:不设置外管52而利用罩从反应容器11的外侧覆盖排气口51,由该罩覆盖的空间利用排气管54排气。另外,也能够设为如下结构:排气管54的上游端与外管52的前方侧连接,流入到排气口51的处理气体在反应容器11的顶板上通过而流入排气管54。
而且,对于晶圆舟皿的结构,也并不限于上述的例子。图10~图13是分别表示与晶圆舟皿2不同的结构的晶圆舟皿的概略纵剖侧视图。以下,以与晶圆舟皿2之间的不同点为中心对这些图10~图13的晶圆舟皿进行说明。在图10中,示出了设有圆板72替代环板24的晶圆舟皿73的结构例。圆板72的周缘部与环板24的周缘部同样地向所载置的晶圆W的周缘的外侧伸出。另外,在图11中,示出了设有沿着环板24的内周缘的环状的突起74的晶圆舟皿75,可在该突起74上保持晶圆W。
图12所示的晶圆舟皿76具有从各支柱23向被该支柱23包围的区域的中心部突出的保持体77,可在该保持体77上保持晶圆W的周缘部。并且,在该晶圆舟皿76中,水平的圆形的环板78呈多层设于与保持体77和晶圆W不同的高度,环板78的内周缘由各支柱23支承。该环板78与环板24的周缘部同样地限制反应容器11内的气流。也就是说,在该晶圆舟皿76中,基板保持部和气流引导部独立地形成。并且,图13所示的晶圆舟皿79与晶圆舟皿76大致相同地构成,作为不同点,替代环板78而设有水平的圆板70。
不过,对于相对于各晶圆舟皿移载晶圆W的移载机构,只要能够相对于已述的晶圆舟皿进行晶圆W的移载即可,可使用任意的结构的移载机构。列举一个例子,能够使用具有通过将晶圆W的侧面的彼此分开的不同的位置分别朝向晶圆W的中心按压来把持该晶圆W的把持机构的移载机构。
上述的立式热处理装置1并不限于构成为基于CVD进行成膜的装置,也可以构成为利用ALD(Atomic Layer Deposition,原子层沉积)进行成膜的装置。另外,也可以是,设置使从气体喷射器41、42喷出来的处理气体在向晶圆W供给之前等离子体化而生成自由基的等离子体化机构,该自由基等活性种向晶圆W供给。在该情况下,如上述那样处理气体以比较高的流速在相邻的晶圆W上流通,因此,能够抑制活性种在到达晶圆W的表面的各部分之前失活,因此,能够谋求装置的高生产率化和晶圆W的面内的处理的均匀化。另外,立式热处理装置1并不限于构成为进行成膜处理的成膜装置,也可以构成为一边供给例如N2(氮)气体等非活性气体一边对晶圆W进行加热而对该晶圆W的表面进行改性的改性装置。此外,已述的各实施方式的结构能够适当组合。例如,作为在立式热处理装置1中支承整流板62的支柱,也可以使用在立式热处理装置6中已说明的支柱64。
不过,在图3、图8所示的例子中,晶圆舟皿2的环板24的周缘部以从晶圆W的整周向比该晶圆W的周缘靠外侧的位置伸出的方式形成,但作为环板24,只要如已述那样获得限制气流的作用即可,并不限于如此以周缘部从晶圆W的整周伸出的方式构成。例如,也可以是,在环板24的周缘部以朝向该环板24的中心的方式形成并且以顶端位于比晶圆W的周缘靠内侧的位置方式形成缺口,以在俯视观察时环板24的周缘部从晶圆W的整周中的大部分、具体而言例如整周中的70%以上的区域向晶圆W的外侧伸出的方式构成该环板24。以这样的方式在环板24的周缘部的多个区域形成有该缺口:在通过使具有分别支承例如晶圆W的背面周缘部上的彼此分开的多个区域的多个爪的输送机构相对于环板24升降、而相对于环板24交接晶圆W时,该爪能够通过。
(评价试验)
作为评价试验1,执行了如下模拟:使用已述的立式热处理装置1而对直径是300mm的晶圆W进行成膜处理。并且,获取了该成膜处理中的相邻的晶圆间的间隙的处理气体的流速的分布。另外,作为比较试验1,除了没有形成整流板62、支柱61、扩张区域31、32之外,执行与评价试验1相同的条件的模拟,与评价试验1同样地获取了处理气体的流速的分布。
图14的图表表示在上述的间隙中从沿着晶圆W的左右方向的直径上获取的流速的分布。以实线表示在评价试验1中获取的流速的分布,以虚线表示在比较试验1中获取的流速的分布。图表的横轴表示检测到流速的位置距晶圆W的中心(设为0m)的距离(单位:m),反应容器11的右侧以+的值表示,左侧以-的值表示。图表的纵轴表示所检测的流速(单位:m/秒),纵轴上的a是正数。若根据该图表对彼此相同的位置处的流速进行比较,则评价试验1的流速比比较试验1的流速大。因而,根据该评价试验的结果确认到已述的本发明的效果。
根据本发明,在基板保持器具的支柱上针对每个基板设置其周缘部向比基板靠外侧的位置伸出的气流引导部、并且以在基板保持区域的左右从外侧朝向彼此相邻的气流引导部之间的空间突出而面对该空间的方式与基板保持器具独立地设有整流部。因而,能够将处理气体向基板之间效率良好且以较快的流速供给。
应该认为此次公开的实施方式在所有方面都是例示而非限制性的内容。实际上,上述的实施方式能够以多样的形态具体化。另外,上述的实施方式只要不脱离权利要求书及其主旨就可以以各种形态省略、置换、变更。意在本发明的范围包含在所附的权利要求书及其等同的意思和范围内的所有变更。
关联申请文献
本发明基于2015年10月21日提出申请的日本特许出愿第2015-207357号的优先权的利益,该日本出愿的全部内容作为参照文献编入本说明书中。

Claims (6)

1.一种立式热处理装置,在由加热部包围的立式的反应容器内形成从一侧朝向另一侧横向流动的处理气体的气流而对呈搁板状配置的多个基板进行处理,其中,
该立式热处理装置包括:
基板保持器具,其具有:支柱;基板保持部,其沿着所述支柱设有多个,用于分别保持基板;气流引导部,其针对每个基板设于所述支柱,以其周缘部向比基板靠外侧的位置伸出的方式形成;
升降台,其用于支承所述基板保持器具而将所述基板保持器具从所述反应容器的下方输入所述反应容器;
旋转机构,其设于所述升降台,用于使所述基板保持器具绕纵轴旋转;
处理气体供给口和排气口,其分别设于保持有所述多个基板的基板保持区域的后方侧和前方侧;
整流部,其以在所述基板保持区域的左右从外侧朝向彼此相邻的气流引导部之间的空间突出而面对该空间的方式相对于所述基板保持器具独立地设置,
其中,所述整流部在俯视观察时与所述气流引导部重叠。
2.根据权利要求1所述的立式热处理装置,其中,
所述整流部借助支承部设于所述升降台,
所述反应容器的侧壁部的与整流部相对的部位向外方鼓起。
3.根据权利要求1所述的立式热处理装置,其中,
所述整流部设于所述反应容器的侧壁部,
在所述基板保持部升降时的朝向,在俯视观察时所述气流引导部的与所述整流部相对的部位形成有缺口部。
4.根据权利要求1所述的立式热处理装置,其中,
所述气流引导部相当于所述基板保持部的周缘部位。
5.根据权利要求4所述的立式热处理装置,其中,
所述基板保持部是沿着基板保持器具的周向形成为环状的环状构件。
6.根据权利要求1所述的立式热处理装置,其中,
所述基板保持部与所述气流引导部相独立地设于所述支柱。
CN201610917830.XA 2015-10-21 2016-10-20 立式热处理装置 Active CN107017181B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015207357A JP6464990B2 (ja) 2015-10-21 2015-10-21 縦型熱処理装置
JP2015-207357 2015-10-21

Publications (2)

Publication Number Publication Date
CN107017181A CN107017181A (zh) 2017-08-04
CN107017181B true CN107017181B (zh) 2021-02-12

Family

ID=58561916

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610917830.XA Active CN107017181B (zh) 2015-10-21 2016-10-20 立式热处理装置

Country Status (4)

Country Link
US (2) US20170114464A1 (zh)
JP (1) JP6464990B2 (zh)
KR (1) KR102015693B1 (zh)
CN (1) CN107017181B (zh)

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6468901B2 (ja) * 2015-03-19 2019-02-13 東京エレクトロン株式会社 基板処理装置
JP6368686B2 (ja) * 2015-06-10 2018-08-01 東京エレクトロン株式会社 熱処理装置、熱処理装置の調整方法、及び、プログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6846993B2 (ja) * 2017-06-19 2021-03-24 東京エレクトロン株式会社 基板保持具及びこれを用いた基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP2019046941A (ja) 2017-08-31 2019-03-22 東芝メモリ株式会社 半導体製造装置、ウェハ搬送装置、およびウェハ搬送方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP6964475B2 (ja) * 2017-09-19 2021-11-10 東京エレクトロン株式会社 基板保持具及び基板処理装置
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7055075B2 (ja) * 2018-07-20 2022-04-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7229266B2 (ja) * 2018-09-20 2023-02-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、及びプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP7126425B2 (ja) * 2018-10-16 2022-08-26 東京エレクトロン株式会社 基板処理装置、基板の搬入方法及び基板処理方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20200057951A (ko) * 2018-11-19 2020-05-27 주식회사 엔씨디 대면적 기판용 수평형 원자층 증착장치
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023159478A (ja) * 2020-09-25 2023-11-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
KR20230085208A (ko) * 2020-12-21 2023-06-13 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 보지구, 반도체 장치의 제조 방법 및 프로그램
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022195886A1 (ja) * 2021-03-19 2022-09-22 株式会社Kokusai Electric 基板保持具、基板処理装置、半導体装置の製造方法およびプログラム
JP2022146582A (ja) * 2021-03-22 2022-10-05 東京エレクトロン株式会社 基板処理装置及び基板処理方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010050054A1 (en) * 2000-03-17 2001-12-13 Samsung Electronics Co., Ltd. Process tube having slit type process gas injection portion and hole type waste gas exhaust portion, and apparatus for fabricating semiconductor device
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US20110177233A1 (en) * 2008-01-18 2011-07-21 Nxp, B.V. Apparatus for providing material on a deposition surface
JP2011222677A (ja) * 2010-04-07 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置
CN102655108A (zh) * 2011-02-28 2012-09-05 株式会社日立国际电气 异径衬底用附属件、衬底处理装置及半导体器件的制造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3100252B2 (ja) * 1992-05-26 2000-10-16 東京エレクトロン株式会社 被処理体用ボート及びそれを用いた被処理体の移し換え方法ならびに熱処理装置
JP3184000B2 (ja) * 1993-05-10 2001-07-09 株式会社東芝 薄膜の形成方法およびその装置
JPH083331A (ja) 1994-06-17 1996-01-09 Tokuyama Corp 二軸延伸ポリプロピレンフィルム
JP4083331B2 (ja) * 1998-01-16 2008-04-30 株式会社エフティーエル 半導体装置の製造装置
JP2005056908A (ja) 2003-08-05 2005-03-03 Hitachi Kokusai Electric Inc 基板処理装置
JP4426518B2 (ja) 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
JP2010251452A (ja) * 2009-04-14 2010-11-04 Elpida Memory Inc 成膜装置および成膜方法
JP2011165964A (ja) * 2010-02-10 2011-08-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2012069831A (ja) * 2010-09-27 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US20010050054A1 (en) * 2000-03-17 2001-12-13 Samsung Electronics Co., Ltd. Process tube having slit type process gas injection portion and hole type waste gas exhaust portion, and apparatus for fabricating semiconductor device
US20110177233A1 (en) * 2008-01-18 2011-07-21 Nxp, B.V. Apparatus for providing material on a deposition surface
JP2011222677A (ja) * 2010-04-07 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置
CN102655108A (zh) * 2011-02-28 2012-09-05 株式会社日立国际电气 异径衬底用附属件、衬底处理装置及半导体器件的制造方法

Also Published As

Publication number Publication date
US20200058526A1 (en) 2020-02-20
KR20170046577A (ko) 2017-05-02
US11282721B2 (en) 2022-03-22
JP2017079289A (ja) 2017-04-27
KR102015693B1 (ko) 2019-08-28
JP6464990B2 (ja) 2019-02-06
CN107017181A (zh) 2017-08-04
US20170114464A1 (en) 2017-04-27

Similar Documents

Publication Publication Date Title
CN107017181B (zh) 立式热处理装置
JP6398761B2 (ja) 基板処理装置
KR102165123B1 (ko) 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 기록 매체
JP5589878B2 (ja) 成膜装置
KR101867133B1 (ko) 회전 테이블을 이용하는 기판 처리 장치
JP5280964B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
TWI486482B (zh) 氣體噴射器及成膜裝置
US9209015B2 (en) Substrate processing apparatus
JPWO2018150615A1 (ja) 基板処理装置、反応管、半導体装置の製造方法及びプログラム
TWI764225B (zh) 基板處理裝置、半導體裝置之製造方法、基板保持器具及程式
US11214864B2 (en) Method for reducing metal contamination and film deposition apparatus
KR101463592B1 (ko) 기판 처리장치
CN104681467A (zh) 支承体构造及处理装置
KR102510487B1 (ko) 정밀 온도 및 유동 제어를 갖는 다중 스테이션 챔버 덮개
JP6447338B2 (ja) 縦型熱処理装置
CN109478500B (zh) 基板处理方法及基板处理装置
US20230005740A1 (en) Modulation of oxidation profile for substrate processing
KR20180106921A (ko) 가스 공급 부재 및 가스 처리 장치
JP5303984B2 (ja) 成膜装置及び成膜方法
JP2011222677A (ja) 基板処理装置
CN108570663B (zh) 成膜装置
JP2018021216A (ja) 成膜装置
US20220307137A1 (en) Reaction tube, substrate processing apparatus and method of manufacturing semiconductor device
JP5527106B2 (ja) 真空処理装置
JP2017084970A (ja) 成膜装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant