JP2016535819A - ハードマスクを選択的に除去するための除去組成物及びその方法 - Google Patents

ハードマスクを選択的に除去するための除去組成物及びその方法 Download PDF

Info

Publication number
JP2016535819A
JP2016535819A JP2016521931A JP2016521931A JP2016535819A JP 2016535819 A JP2016535819 A JP 2016535819A JP 2016521931 A JP2016521931 A JP 2016521931A JP 2016521931 A JP2016521931 A JP 2016521931A JP 2016535819 A JP2016535819 A JP 2016535819A
Authority
JP
Japan
Prior art keywords
acid
ammonium
removal composition
weight
bis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016521931A
Other languages
English (en)
Other versions
JP2016535819A5 (ja
Inventor
ツイ ホワ
ツイ ホワ
Original Assignee
イー.ケー.シー.テクノロジー.インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by イー.ケー.シー.テクノロジー.インコーポレーテッド filed Critical イー.ケー.シー.テクノロジー.インコーポレーテッド
Priority claimed from PCT/US2014/059848 external-priority patent/WO2015054464A1/en
Publication of JP2016535819A publication Critical patent/JP2016535819A/ja
Publication of JP2016535819A5 publication Critical patent/JP2016535819A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/28Acidic compositions for etching iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/34Alkaline compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/38Alkaline compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • C23F11/14Nitrogen-containing compounds
    • C23F11/149Heterocyclic compounds containing nitrogen as hetero atom
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • C11D2111/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

本開示は、半導体基板から、TiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを除去するための方法に関する。この方法は、除去組成物と半導体基板とを接触させることを含む。除去組成物は、0.1重量%〜90重量%の酸化剤と、0.0001重量%〜50重量%のカルボン酸と、脱イオン水を含む除去組成物の100重量%までの残部とを含む。

Description

関連出願の相互参照/参照記載による援用
本出願は、2013年10月11日付けで出願された米国仮特許出願第61/889,968号明細書の利益を主張するものであり、すべての開示内容は、参照により本明細書に援用される。
本開示の及び特許請求される発明概念は、集積回路(IC)デバイス基板からのハードマスク及びその他の残留物を選択的に除去するための組成物及び方法に関し、更に詳しくは、カルボン酸化合物を使用して、低k誘電材料、TEOS、銅、コバルト、及びその他の低k誘電材料を含むこうした基板から、TiN、TaN、TiNxOy、TiW,Ti、及びWのハードマスク、並びに前述のものの合金を含むハードマスク、並びにその他の残留物を選択的に除去するために有用である組成物及び方法に関する。
一般的には、プラズマドライエッチングを使用して、銅(Cu)/低k誘電デュアルダマシン製造プロセスにおいて直立側壁トレンチ及び異方性相互接続ビアを製造する。技術ノードが45nm以下まで進化するにつれて、半導体デバイスのサイズの減少は、ビア及びトレンチの限界的プロファイル制御を実現することをより困難にする。集積回路デバイス会社は、低k誘電材料に対するエッチング選択性を改善し、これにより、より良好なプロファイル制御を得るために、様々なハードマスクの使用法を探求している。
高収率及び低抵抗を得るために、エッチングの間に発生する、側壁におけるポリマー残留物、及びビア底における粒子/ポリマー残留物は、次のプロセス工程の前に除去されなければならない。又、除去組成物(清浄液)が、ハードマスクを効果的にエッチングして、例えば、束ねられた/丸い形態などの中間形態を形成することができ、或いは完全にハードマスクを除去することができる場合、非常に有益であろう。束ねられた/丸い形態は、ハードマスクを切り落とすことを防止し、その結果、バリア金属、銅シード層、及び銅充填物の確実な蒸着を可能にするであろう。或いは、同一の組成物を用いたハードマスクを完全に除去することは、バリアCMPの必要性を除去することによって、下流のプロセス工程、特に化学性機械的研磨(CMP)に多数の利点を与えるであろう。
例えば、平坦化工程、フォトリソグラフィー工程、又はエッチング工程などの、製造プロセスにおけるほぼすべての工程の後、効果的に除去されていない場合、除去(洗浄)プロセスが、滞留しデバイスの表面を汚染する可能性のあるプラズマエッチング、フォトレジスト、酸化剤、研磨剤、金属、及び/又はその他の液体又は粒子の残留物を除去するために必要となる。銅導体及び低k誘電材料(典型的には炭素ドープ酸化ケイ素(SiOCH)又は多孔性低k誘電材料)を必要とする拡張型(advanced)世代のデバイスの製造は、様々な種類の従来技術のクリーナーによって、両方の材料が反応し損傷を受ける可能性がある問題を生じさせる。
特に、低k誘電体は、エッチング、多孔性/サイズにおける変化、及び最終的に誘電特性における変化によって証明されるように、除去プロセスにおいて損傷を受ける場合がある。残留物の除去に必要とされる時間は、残留物の性質、作製されたプロセス(加熱、架橋、エッチング、ベイキング、及び/又は灰化)、並びにバッチ又は単一のウェハー除去プロセスが使用可能かどうかに依存する。いくつかの残留物は、非常に短い期間で洗浄されることができ、一方、いくつかの残留物は、かなり長い除去手順を必要とする。除去組成物との接触の期間にわたる低k誘電体及び銅導体の両方との適合性が、所望の特徴である。
バックエンドオブライン(back−end−of−line)(BEOL)IC製造プロセス、即ち、デュアルダマシンプロセスの際、(Ti及びWの合金を含む)TiN、TaN、TiNxOy、TiW、Ti、及び/又はWを、ビア及びトレンチの形成におけるハードマスクとして使用して、ドライエッチング工程の際、低k誘電材料に対する高い選択性を得る。TiN、TaN、TiNxOy、TiW、Ti、又はWを選択的に除去することができ、低k誘電材料、銅、コバルト、及びその他の誘電材料と適合性があり得、更に同時に、得られたデュアルダマシン構造から不必要なエッチング残留物及び銅酸化物を除去することができる、効果的な除去組成物が必要とされる。選択的除去以上に、又、除去組成物におけるハードマスクの達成可能な除去速度(Å/分)が、長期間の間、実質的に一定に維持されることが非常に望まれている。
高い生産効率及び確実なデバイス性能のためのデバイス限界寸法の継続的な低減及び対応する要件について、こうした改良された除去組成物の必要性が存在する。
本開示は、半導体プロセッシングのための方法に関する。この方法は、配線冶金及び誘電材料に損傷を与えることなく、デュアルダマシン構造からのハードマスクの高い選択的除去をもたらす1つ以上のカルボン酸を有する除去組成物を使用する。デュアルダマシンバックエンド金属被覆において製造されるタイプの半導体基板は、層間誘電体(低k誘電材料)によって隔離される複数の層又はレベルの金属相互接続からなる。除去組成物は、構造を形成する下位層に損傷を与えることなく、ビア及びトレンチ表面から、ハードマスクエッチング残留物、フォトレジスト、重合性材料、及び酸化銅を除去することができる。
半導体基板から、TiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを除去するための方法は、(a)0.1重量%〜90重量%の少なくとも1つの酸化剤と、(b)0.0001重量%〜50重量%のカルボン酸と、(c)脱イオン水を含む除去組成物の100重量%までの残部とを含む除去組成物と半導体基板とを接触させることを含む。
デュアルダマシンデバイスの製造の間の、しかし、本発明の除去組成物との接触の前のトレンチを示す半導体ウェハー部分の断面SEM画像である。 デュアルダマシンデバイスの製造の間の、しかし、本発明の除去組成物との接触の前のビアを示す半導体ウェハー部分の断面SEM画像である。 50℃で90秒間にわたる表1の除去組成物1との接触の後の、図1Aに示されるタイプの半導体ウェハー部分の断面SEM画像である。 50℃で90秒間にわたる表1の除去組成物1との接触の後の、図1Bに示されるタイプの半導体ウェハー部分の断面SEM画像である。 50℃で90秒間にわたる表1の除去組成物2との接触の後の、図1Aに示されるタイプの半導体ウェハー部分の断面SEM画像である。 50℃で90秒間にわたる表1の除去組成物2との接触の後の、図1Bに示されるタイプの半導体ウェハー部分の断面SEM画像である。 53℃で90秒間にわたる表1の除去組成物3との接触の後の、図1Aに示されるタイプの半導体ウェハー部分の断面SEM画像である。 53℃で90秒間にわたる表1の除去組成物3との接触の後の、図1Bに示されるタイプの半導体ウェハー部分の断面SEM画像である。
本発明の組成物の様々な成分は、相互作用することができ、従って、任意の組成物は、ともに加えられる場合、組成物を形成する様々な成分の量として表されることが認識される。特に記載のない限り、パーセントで与えられた任意の組成物は、組成物に加えられた成分の重量パーセント(重量%)である。組成物が、実質的に、特定の成分を含まないものとして表される場合、一般的には、「実質的に含まない」を意味するものに対して、当業者を導くために提供される数値的範囲が存在するが、すべての場合において、「実質的に含まない」とは、組成物が特定の成分をまったく含まない好ましい実施形態を包含する。
前述で簡潔に示されるように、デュアルダマシンプロセスを使用して、バックエンド金属被覆における金属相互接続を形成し、次いで、これを使用して、半導体基板における様々な電気部品を電気的に相互接続し機能回路を形成する。バックエンド金属被覆に関する説明は、中間層誘電層及び/又はバリア層によって隔離される金属相互接続の複数のレベル又は層の製造を含み、例えば、米国特許第8,080,475号明細書において見ることができ、その教示は参照によりその全体が本明細書に援用される。超低k誘電体などの新たな材料のマイクロ電子デバイスへの組込みは、除去性能における新たな要求をもたらす。並行して、デバイス寸法の縮小は、ビア及びトレンチの限界寸法における変化に対する許容性を低減させる。
記載及び特許請求される発明の概念は、前述のハードマスクが低k誘電材料と重なり合う関係にある半導体基板からのハードマスクの選択的除去が、0.0001重量%〜50重量%までの有効量のカルボン酸を除去組成物に加えることによって達成可能であるという発見にある。好ましい実施形態においては、カルボン酸の濃度は、0.001重量%〜10重量%までである。別の利点は、カルボン酸の添加が、Ti及びWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiから選択されるハードマスクのエッチング速度を増加させることである。
更に別の利点は、本開示の除去組成物におけるCDTAと組み合わされたカルボン酸アンモニウムが、エッチング速度を安定化させるのと同様に、エッチング速度を増加させるという発見にある。更に別の利点は、アンモニウムエチレンジアミン四酢酸が、エッチング速度を増加させるだけでなく、エッチング速度を安定化させるという発見にある。
金属ハードマスクを除去するための除去組成物における化学物質は、時間経過にわたり分解する場合がある。本明細書において「安定化させる」又は「安定化される」という用語は、ハードマスクにおける達成可能なエッチング速度が、選択された操作温度で、例えば、22時間〜35時間以上までの期間などの、長期間にわたり、実質的に一定に留まることを意味するために使用される。例えば、2、4、又は8時間の使用の後の、除去組成物のエッチング速度は、実質的に20、24、又は35時間の使用の後と同じである。
「実質的に一定である」という用語は、アンモニウムエチレンジアミン四酢酸、或いはカルボン酸アンモニウム及びアミノ酸、アミンポリカルボン酸、カルボン酸又はポリカルボン酸キレート剤の組合せが、除去組成物において使用されなかった場合、時間経過にわたる分解は、最小限又はそれ以下にされることを意味することを意図する。従って、エッチング速度は、アンモニウムエチレンジアミン四酢酸、或いはカルボン酸アンモニウム及びアミノ酸、アミンポリカルボン酸、カルボン酸又はポリカルボン酸キレート剤の組合せが、使用されなかった場合と同じ程度に減少することはない。
「選択的に除去すること」という用語は、下部の金属導体層(金属相互接続)及び低k誘電材料に損傷を与えることなく、ハードマスクを除去することを意味することを意図する。低k誘電材料は、半導体基板の誘電材料として使用される任意の材料、又は比誘電率が3.5未満である任意のマイクロ電子デバイスである。有用な低k誘電材料の例としては、これらに限定されるものではないが、二酸化ケイ素(SiO2)、炭素ドープ酸化ケイ素(SiOCH)、有機ポリマーなどの低極性材料、ハイブリッド型の有機、無機材料、有機ケイ酸ガラス(OSG)、及び炭素−ドープ酸化(CDO)ガラスが挙げられる。これらの材料における、多孔性、即ち空気充填孔を組み込むことは、材料の比誘電率を更に低下させる。
カルボン酸
本明細書において「カルボン酸」という用語は、一般式M(RCOO)n(式中、Mは金属であり、且つ、nは、1、2、...であり、一般式RCOOR’(式中、R及びR’は、R’≠Hという条件で有機基である)を有する化合物の範囲内でカルボン酸エステルの数である)を意味するために使用される。本明細書において記載されるタイプの化学が、ICデバイスの製造などの電子デバイス製造において使用される場合、化学組成物において任意の金属不純物を有さないことが好ましい。このような場合、Mは、NH4+と置き換えられる。本開示の除去組成物は、半導体基板からハードマスクを選択的に除去する。ハードマスクは、TiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になる。除去組成物は、半導体基板の低k誘電材料に対してハードマスクを選択的に除去する。除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
除去組成物におけるカルボン酸の存在は、金属ハードマスクのエッチング速度を、カルボン酸を有さない同じ除去組成物と比較して、少なくとも8%、且つ、いくつかの実施形態においては、少なくとも39%、又は43%、又は50%、又は60%、又は75、又は80%、増加させる。いくつかの実施形態においては、カルボン酸は、クエン酸カリウム三塩基酸一水和物、酒石酸ナトリウムカリウム四水和物、L−乳酸カリウム、及びそれらの混合物からなる群から選択される。
いくつかの実施形態においては、カルボン酸は、カルボン酸アンモニウムである。いくつかの実施形態においては、カルボン酸アンモニウムは、シュウ酸アンモニウム、乳酸アンモニウム、酒石酸アンモニウム、クエン酸アンモニウム三塩基酸、酢酸アンモニウム、カルバミン酸アンモニウム、炭酸アンモニウム、安息香酸アンモニウム、アンモニウムエチレンジアミン四酢酸、二アンモニウムエチレンジアミン四酢酸、三アンモニウムエチレンジアミン四酢酸、四アンモニウムエチレンジアミン四酢酸、コハク酸アンモニウム、ギ酸アンモニウム、1−H−ピラゾール−3−カルボン酸アンモニウム、及びそれらの混合物からなる群から選択される。
カルボン酸は、除去組成物の総重量に基づいて、0.0001重量%〜50重量%の量で存在する。いくつかの実施形態においては、カルボン酸は、除去組成物の総重量に基づいて、0.0001重量%〜25重量%の量で存在する。いくつかの実施形態においては、カルボン酸は、除去組成物の総重量に基づいて、0.0001重量%〜10重量%の量で存在する。別の実施形態においては、カルボン酸は、除去組成物の総重量に基づいて、0.0001重量%〜0.6重量%の量で存在する。別の実施形態においては、カルボン酸は、除去組成物の総重量に基づいて、0.001重量%〜50重量%の量で存在する。別の実施形態においては、カルボン酸は、除去組成物の総重量に基づいて、0.001重量%〜10重量%の量で存在する。更に別の実施形態においては、カルボン酸は、除去組成物の総重量に基づいて、0.2重量%〜0.5重量%の量で存在する。
更に別の実施形態においては、カルボン酸アンモニウムは、除去組成物の総重量に基づいて、0.0001重量%〜50重量%の量で存在する。いくつかの実施形態においては、カルボン酸アンモニウムは、除去組成物の総重量に基づいて、0.0001重量%〜25重量%の量で存在する。いくつかの実施形態においては、カルボン酸アンモニウムは、除去組成物の総重量に基づいて、0.0001重量%〜10重量%の量で存在する。別の実施形態においては、カルボン酸アンモニウムは、除去組成物の総重量に基づいて、0.0001重量%〜0.6重量%の量で存在する。更に別の実施形態においては、カルボン酸アンモニウムは、除去組成物の総重量に基づいて、0.001重量%〜50重量%の量で存在する。別の実施形態においては、カルボン酸アンモニウムは、除去組成物の総重量に基づいて、0.001重量%〜10重量%の量で存在する。更に別の実施形態においては、カルボン酸アンモニウムは、除去組成物の総重量に基づいて、0.2重量%〜0.5重量%の量で存在する。
いくつかの実施形態においては、カルボン酸アンモニウムは、アンモニウムエチレンジアミン四酢酸、又はそれらの混合物である。本開示の除去組成物におけるアンモニウムエチレンジアミン四酢酸の存在は、ハードマスクのエッチング速度を増加させるだけでなく、作用して長期間(少なくとも22時間まで、及びいくつかの実施形態においては少なくとも35時間まで)にわたる達成可能なエッチング速度を安定化させる。
いくつかの実施形態においては、アンモニウムエチレンジアミン四酢酸は、アンモニウムエチレンジアミン四酢酸、二アンモニウムエチレンジアミン四酢酸、三アンモニウムエチレンジアミン四酢酸、四アンモニウムエチレンジアミン四酢酸、及びそれらの混合物からなる群から選択される。
一実施形態においては、アンモニウムエチレンジアミン四酢酸は、エッチング速度を安定化させる。いくつかの実施形態においては、アンモニウムエチレンジアミン四酢酸は、TiNエッチング速度を安定化させる。いくつかの実施形態においては、アンモニウムエチレンジアミン四酢酸は、50℃でのTiNエッチング速度が、35時間で20%又は45Å/分を超えて低下しないように、TiNエッチング速度を安定化させる。アンモニウムエチレンジアミン四酢酸の添加がない除去組成物の50℃でのエッチング速度は、35時間で60%又は86Å/分だけ低下する。
いくつかの実施形態においては、カルボン酸アンモニウムは、四アンモニウムエチレンジアミン四酢酸である。いくつかの実施形態においては、四アンモニウムエチレンジアミン四酢酸は、50℃でのTiNエッチング速度が、35時間で20%又は45Å/分を超えて低下しないように、TiNエッチング速度を安定化させる。四アンモニウムエチレンジアミン四酢酸を有さない除去組成物の場合、50℃でのエッチング速度は、35時間で60%又は86Å/分だけ低下する。
酸化剤
本発明の概念による有用な酸化剤は、ハードマスクと化学的に反応し、その除去を実行する能力を有する任意の物質から選択される。除去組成物酸化剤は、過酸化水素(H2O2)、n−メチルモルホリン酸化物(NMMO又はNMO)、ベンゾイルペルオキシド、テトラブチルアンモニウム過酸化モノ硫酸、オゾン、塩化第二鉄、過マンガン酸ペルオキソホウ酸、過塩素酸、ペルオキソ硫酸、ペルオキシ二硫酸アンモニウム、過酢酸、尿素ヒドロペルオキシド、硝酸(HNO3)、亜塩素酸アンモニウム(NH4ClO2)、塩素酸アンモニウム(NH4ClO3)、ヨウ素酸アンモニウム(NH4IO3)、過ホウ酸アンモニウム(NH4BO3)、過塩素酸アンモニウム(NH4ClO4)、過ヨウ素酸アンモニウム(NH4IO3)、過硫酸アンモニウム((NH4)2S2O8)、亜塩素酸テトラメチルアンモニウム((N(CH3)4)ClO2)、塩素酸テトラメチルアンモニウム((N(CH3)4)ClO3)、ヨウ素酸テトラメチルアンモニウム((N(CH3)4)IO3)、過ホウ酸テトラメチルアンモニウム((N(CH3)4)BO3)、過塩素酸テトラメチルアンモニウム((N(CH3)4)ClO4)、過ヨウ素酸テトラメチルアンモニウム((N(CH3)4)IO4)、過硫酸テトラメチルアンモニウム((N(CH3)4)S2O8)、((CO(NH2)2)H2O2)、過酢酸(CH3(CO)OOH)、及びそれらの混合物からなる群から選択される。前述の中で、過酸化水素は、低濃度の金属であり、且つ、取扱いの簡易さ及び安価な相対的費用を提供する最も好ましい酸化剤である。
一実施形態においては、除去組成物は、0.1重量%〜90重量%の酸化剤を含む。別の実施形態においては、除去組成物は、0.1重量%〜24重量%の酸化剤を含む。別の実施形態においては、除去組成物は、3重量%〜24重量%の酸化剤を含む。
酸/キレート剤
又、除去組成物としては、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物を挙げることができる。アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはカルボン酸アンモニウム又はカルボン酸アンモニウムの混合物との組合せでのそれらの混合物の存在は、少なくとも22時間又は更に35時間までエッチング速度を安定化させることが認められた。
いくつかの実施形態においては、除去組成物は、0.0005重量%〜20重量%の、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物を含む。いくつかの実施形態においては、除去組成物は、0.001重量%〜20重量%の、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物を含む。別の実施形態においては、除去組成物は、0.001重量%〜10重量%の、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物を含む。別の実施形態においては、除去組成物は、0.001重量%〜5重量%の、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物を含む。別の実施形態においては、除去組成物は、0.001重量%〜1重量%の、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物を含む。別の実施形態においては、除去組成物は、0.001重量%〜0.607重量%の、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物を含む。
こうしたキレート剤の例としては、これらに限定されるものではないが、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、エチレンジアミン−N,N’ービス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸(DOCTA)、及びトリエチレンテトラミン六酢酸(TTHA)が挙げられる。
カルボン酸アンモニウムを有する除去組成物への1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸の添加は、少なくとも35時間までTiNエッチング速度を安定化させる。1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸を有さない、カルボン酸アンモニウムを有する除去組成物の50℃でのエッチング速度は、35時間後、48%又は更に54%減少する場合がある。0.2〜0.8重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸が、カルボン酸アンモニウム除去組成物に加えられる場合、50℃でのTiNエッチング速度は、8%以下減少し、一方、一実施形態においては、0.4%減少する。カルボン酸アンモニウムを有する除去組成物の安定性が重要である場合、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸は、除去組成物に加えることができる。1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸の量は、所望の安定性を実現するように変更可能である。
一実施形態においては、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸は、除去組成物の総重量パーセントに基づいて、0.0005重量%〜20重量%の量で存在する。一実施形態においては、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸は、除去組成物の総重量パーセントに基づいて、0.0005重量%〜10重量%の量で存在する。一実施形態においては、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸は、除去組成物の総重量パーセントに基づいて、0.001重量%〜10重量%の量で存在する。別の実施形態においては、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸は、0.001重量%〜5重量%の量で存在する。別の実施形態においては、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸は、0.001重量%〜1重量%の量で存在する。別の実施形態においては、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸は、0.001重量%〜0.607重量%の量で存在する。
いくつかの実施形態においては、
(a)0.1重量%〜90重量%の少なくとも1つの酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸アンモニウムと、
(c)1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、及びエチレンジアミン−N,N’−ビス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸、及びトリエチレンテトラミン六酢酸(TTHA)からなる群から選択される、0.001重量%〜20重量%の、アミノ酸、アミノポリカルボン酸、カルボン酸、ポリカルボン酸、又はそれらの混合物と、
(d)脱イオン水を含む除去組成物の100重量%までの残部と
を含む除去組成物は、少なくとも35時間までエッチング速度を安定化させる。いくつかの実施形態においては、少なくとも35時間までTiNエッチング速度を安定化させる。並びに、いくつかの実施形態においては、選択された操作温度で少なくとも35時間までTiNエッチング速度を安定化させる。いくつかの実施形態においては、選択された操作温度は、20〜60℃である。別の実施形態においては、選択された操作温度は、以下の温度の任意の2つの間及び任意の2つを含む:20、30、45、50、53、及び60℃。
いくつかの実施形態においては、キレート剤の添加は、50℃でのTiNエッチング速度が、24時間で23Å/分を超えて減少しないように、TiNエッチング速度を安定化させる。いくつかの実施形態においては、キレート剤の添加は、50℃でのTiNハードマスクエッチング速度が、24時間で22.5Å/分を超えて減少しないように、TiNエッチング速度を安定化させる。いくつかの実施形態においては、キレート剤の添加は、50℃でのTiNエッチング速度が、24時間で20.5Å/分を超えて減少しないように、TiNエッチング速度を安定化させる。いくつかの実施形態においては、キレート剤の添加は、50℃でのTiNエッチング速度が、24時間で11Å/分を超えて減少しないように、TiNエッチング速度を安定化させる。
金属腐食抑制剤
本発明を実行するために必要とされていないが、又、少なくとも1つの腐食抑制剤が、除去組成物に存在することができ、例えば、この場合に、除去組成物は、銅又はその他の金属成分の腐食が懸念である、BEOLアプリケーション又はその他のアプリケーションで半導体プロセッシングにおいて活用される。金属表面をエッチングされる又は劣化することから保護するために、腐食抑制剤の存在が必要である。本発明の組成物及び関連する方法の、FEOLアプリケーションを含む、その他のアプリケーションでは、一般的には、腐食抑制剤は必要ではなく、即ち、銅又はコバルトは除去化学作用を受けず、銅又はコバルトは、ウェハー基板に存在せず、或いは、通常、銅又はコバルト表面のわずかなエッチング/劣化は懸念でない。
金属(銅又はコバルト)腐食抑制剤は、例えば、ピロール及びその誘導体、ピラゾール及びその誘導体、イミダゾール及びその誘導体、トリアゾール及びその誘導体、インダゾール及びその誘導体、並びにチオール−トリアゾール及びその誘導体、ベンゾトリアゾール(BTA)、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、Br、又はI)、ナフトトリアゾール、2−メルカプトベンゾイミダゾール(MBI)、2−メルカプトベンゾチアゾール4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾールイン、5−アミノテトラゾール、5−アミノテトラゾール一水和物、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、メルカプトベンゾイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、及びそれらの混合物などの、少なくとも1つの窒素原子を含む複素環式化合物からなる群から好ましくは選択されるアゾール、チオール、及び/又はインドールなどの有機化合物である。前述の化合物の間で、ベンゾトリアゾール、ピラゾール、又はベンゾトリアゾール及びピラゾールの混合物、又はベンゾトリアゾール及びトリルトリアゾールの混合物(「Wintrol A−90」の名称で、Wincom,Inc.より市販される)が、より良好な除去性能のための好ましい銅腐食抑制剤である。
銅又はコバルト腐食抑制剤、或いはそれらの混合物は、0.0001重量%〜50重量%で組成物に存在することができる。別の実施形態においては、銅又はコバルト腐食抑制剤、或いはそれらの混合物は、0.0001重量%〜10重量%の量で組成物に存在する。いくつかの実施形態においては、銅又はコバルト腐食抑制剤、或いはそれらの混合物は、0.5重量%〜0.9重量%の量で組成物に存在する。いくつかの実施形態においては、銅又はコバルト腐食抑制剤、或いはそれらの混合物は、0.18重量%〜0.8重量%の量で組成物に存在する。別の実施形態においては、銅又はコバルト腐食抑制剤、或いはそれらの混合物は、0.18重量%〜0.65重量%の量で組成物に存在する。その他の適切な銅又はコバルト腐食抑制剤としては、これらに限定されるものではないが、芳香族ヒドラジド及びシッフ塩基化合物が挙げられる。
いくつかの実施形態においては、組成物は、水と混和性である1つ以上の助溶剤を含むことができる。助溶剤は、残留物除去を促進する。適切な助溶剤としては、これらに限定されるものではないが、スルホラン、N−メチルピロリドン、及びジメチルスルホキシドが挙げられる。
pH調整
又、組成物は、必要に応じて、作用する組成物のpHを調整するために、塩基又は酸を含むことができる。塩基は、例えば、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム(TEAH)、水酸化ベンジルトリメチルアンモニウム(BTAH)、及びそれらの混合物などの四級アンモニウム塩からなる群から選択されることができる。塩基は、例えば、モノエタノールアミン(MEA)、ジグリコールアミン(DGA)、トリエタノールアミン(TEA)、水酸化テトラブチホスホニウム(TBPH)、及びそれらの混合物などの、一級、二級、及び三級アミンからなる群から選択されることができる。いくつかの実施形態においては、塩基は、四級アンモニウム塩及びアミンの組合せであることができる。例えば、適切な酸は、硫酸、硝酸、リン酸、フッ化水素酸(HF)、又は臭化水素酸などの無期酸、カルボン酸、アミノ酸、ヒドロキシカルボン酸、ポリカルボン酸、又はこうした酸の混合物などの有機酸からなる群から選択される。作用組成物のpHは、2〜14の値で、しかし、好ましくは3〜12の範囲で維持されなければならない。前述のように、BEOL銅相互接続製造アプリケーションで使用される場合、作用する組成物の好ましいpHは、高いエッチング速度を実現するために、過酸化水素が酸化剤として使用される場合、5〜11の範囲である。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)0.0005重量%〜20重量%のアミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物と、
(d)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)0.0005重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸と、
(d)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(d)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)0.0001重量%〜50重量%の金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(d)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)0.0005重量%〜20重量%のアミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物と、
(d)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(e)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)0.0005重量%〜20重量%のアミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤、或いはそれらの混合物と、
(d)0.0001重量%〜50重量%の金属腐食抑制剤と、
(e)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(f)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)0.0005重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸と、
(d)0.0001〜50重量%の、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(e)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)0.0005重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸と、
(d)0.0001〜50重量%の、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(e)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(f)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
更に、前述の実施形態のいずれかによる除去組成物は、カルボン酸がカルボン酸アンモニウムである。カルボン酸アンモニウムは、シュウ酸アンモニウム、乳酸アンモニウム、酒石酸アンモニウム、クエン酸アンモニウム三塩基酸、酢酸アンモニウム、カルバミン酸アンモニウム、炭酸アンモニウム、安息香酸アンモニウム、アンモニウムエチレンジアミン四酢酸、二アンモニウムエチレンジアミン四酢酸、三アンモニウムエチレンジアミン四酢酸、四アンモニウムエチレンジアミン四酢酸、コハク酸アンモニウム、ギ酸アンモニウム、1−H−ピラゾール−3−カルボン酸アンモニウム、及びそれらの混合物からなる群から選択される。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸アンモニウムと、
(c)0.0005重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸と、
(d)0.0001〜50重量%の、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(e)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(f)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%の酒石酸アンモニウムと、
(c)0.0005重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)と、
(d)0.0001〜50重量%の、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(e)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(f)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の過酸化水素と、
(b)0.0001重量%〜50重量%のカルボン酸アンモニウムと、
(c)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の過酸化水素と、
(b)0.0001重量%〜50重量%のカルボン酸アンモニウムと、
(c)0.0005重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸と、
(d)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の過酸化水素と、
(b)0.0001重量%〜50重量%のカルボン酸アンモニウムと、
(c)0.0005重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸と、
(d)0.0001〜50重量%の、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(e)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%のアンモニウムエチレンジアミン四酢酸と、
(c)0.0001〜50重量%の、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(d)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(e)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%の四アンモニウムエチレンジアミン四酢酸と、
(c)0.0001〜50重量%の、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(d)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(e)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
一実施形態においては、半導体基板であって、その上にTiN、TaN、TiNxOy、TiW、W、Ti、或いはTi又はWの合金のハードマスクを有する低k誘電材料を含む半導体基板から、低k誘電材料に対してTiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを選択的に除去するための除去組成物であり、除去組成物は、
(a)0.1重量%〜90重量%の酸化剤と、
(b)0.0001重量%〜50重量%の四アンモニウムエチレンジアミン四酢酸と、
(c)0.0005重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸と、
(d)0.0001〜50重量%の、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
(e)塩基及びその混合物、又は酸及びその混合物、又は塩基及び酸の混合物と、
(f)脱イオン水を含む除去組成物の100重量%までの残部と
を含む。
キット
本開示の別の実施形態は、除去組成物を形成するのに適合された1つ以上の成分を含む1つ以上の容器を含むキットである。いくつかの実施形態においては、キットは、製造の際又は使用の際に、酸化剤と組み合わせるための少なくとも1つのカルボン酸及び脱イオン水を含む、1つ以上の容器を含む。別の実施形態においては、キットは、少なくとも1つのカルボン酸と、脱イオン水と、少なくとも1つの銅腐食抑制剤とを含み、且つ、pHを調整するために塩基、酸、又はそれらの混合物を任意選択的に含み、且つ、製造の際又は使用の際に、酸化剤と組み合わせるための少なくとも1つの助溶剤を任意選択的に含む、1つ以上の容器を含む。別の実施形態においては、キットは、少なくとも1つのカルボン酸と、脱イオン水と、少なくとも1つの、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤とを含み、且つ、pHを調整するために塩基、酸、又はそれらの混合物を任意選択的に含み、且つ、製造の際又は使用の際に、酸化剤と組み合わせるための少なくとも1つの助溶剤を任意選択的に含む、1つ以上の容器を含む。別の実施形態においては、キットは、少なくとも1つのカルボン酸と、脱イオン水と、少なくとも1つの銅腐食抑制剤と、少なくとも1つの、アミノ酸、アミンポリカルボン酸(即ち、アミノポリカルボン酸)、及び/又はカルボン酸、ポリカルボン酸キレート剤とを含み、pHを調整するために塩基、酸、又はそれらの混合物を任意選択的に含み、且つ、製造の際又は使用の際に、酸化剤と組み合わせるための少なくとも1つの助溶剤を任意選択的に含む、1つ以上の容器を含む。
方法
除去組成物は、半導体基板に任意の適切な方法で塗布される。接触又は半導体基板を接触させることは、スプレー、浸漬、その上に吸収される除去組成物を有するパッド又はアプリケーターの使用、或いは除去組成物と半導体基板とを接触させる任意のその他の適切は方法を含むことが意図される。
一実施形態においては、半導体基板であって、その上にTi又はWの合金を含むハードマスクを含む、TiN、TaN、TiNxOy、TiW、W、又はTiのハードマスクを有する半導体基板から、下部の低k誘電、Cu、Co、SiON、SlCN、及びTEOS材料に対してTi又はWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiから基本的になるハードマスクを選択的に除去するための方法であり、この場合に、この方法は、
(a)0.1重量%〜90重量%の少なくとも1つの酸化剤と、
(b)0.0001重量%〜50重量%までのカルボン酸と、
(c)脱イオン水を含む除去組成物の100重量%までの残部と
を含む、除去組成物と半導体基板とを接触させることを含む。
いくつかの実施形態においては、半導体基板であって、その上にTi又はWの合金を含むハードマスクを含む、TiN、TaN、TiNxOy、TiW、W、又はTiのハードマスクを有する半導体基板から、下部の低k誘電、Cu、Co、SiON、SlCN、及びTEOS材料に対してTi又はWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiから基本的になるハードマスクを選択的に除去するための方法であり、この場合に、この方法は、
(a)0.1重量%〜90重量%の少なくとも1つの酸化剤と、
(b)0.0001重量%〜50重量%までのカルボン酸アンモニウムと、
(c)脱イオン水を含む除去組成物の100重量%までの残部と
を含む、除去組成物と半導体基板とを接触させることを含む。
いくつかの実施形態においては、半導体基板であって、その上にTi又はWの合金を含むハードマスクを含む、TiN、TaN、TiNxOy、TiW、W、又はTiのハードマスクを有する半導体基板から、下部の低k誘電、Cu、Co、SiON、SlCN、及びTEOS材料に対してTi又はWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiから基本的になるハードマスクを選択的に除去するための方法であり、この場合に、この方法は、
(a)0.1重量%〜90重量%の少なくとも1つの酸化剤と、
(b)シュウ酸アンモニウム、乳酸アンモニウム、酒石酸アンモニウム、クエン酸アンモニウム三塩基酸、酢酸アンモニウム、カルバミン酸アンモニウム、炭酸アンモニウム、安息香酸アンモニウム、アンモニウムエチレンジアミン四酢酸、二アンモニウムエチレンジアミン四酢酸、三アンモニウムエチレンジアミン四酢酸、四アンモニウムエチレンジアミン四酢酸、コハク酸アンモニウム、ギ酸アンモニウム、1−H−ピラゾール−3−カルボン酸アンモニウム及びそれらの混合物からなる群から選択される、0.0001重量%〜50重量%までのカルボン酸アンモニウムと、
(c)脱イオン水を含む除去組成物の100重量%までの残部と
を含む、除去組成物と半導体基板とを接触させることを含む。
前述の方法のいずれかによるいくつかの実施形態においては、除去組成物は、少なくとも1つの金属腐食抑制剤を更に含むことができる。前述の方法のいずれかによるいくつかの実施形態においては、除去組成物は、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、及びエチレンジアミン−N,N’−ビス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸(DOCTA)、及びトリエチレンテトラミン六酢酸(TTHA)からなる群から選択される0.001重量%〜20重量%の、アミノ酸、アミノポリカルボン酸、カルボン酸、ポリカルボン酸、又はそれらの混合物を更に含むことができる。前述の方法のいずれかによるいくつかの実施形態においては、除去組成物は、少なくとも1つの塩基、少なくとも1つの酸、又はそれらの混合物を更に含むことができ、この場合に、塩基は、四級アンモニウム塩、一級アミン、二級アミン、三級アミンからなる群から選択され、且つ、酸は、無機酸、有機酸、又はそれらの混合物からなる群から選択される。
前述の方法のいずれかによるいくつかの実施形態においては、除去組成物は、少なくとも1つの塩基、少なくとも1つの酸、又はそれらの混合物を更に含むことができ、この場合に、塩基は、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム(TEAH)、水酸化ベンジルトリメチルアンモニウム(BTAH)、モノエタノールアミン(MEA)、ジグリコールアミン(DGA)、トリエタノールアミン(TEA)、水酸化テトラブチホスホニウム(TBPH)、及びそれらの混合物から選択され、酸は、無機酸、有機酸、又はそれらの混合物からなる群から選択される。
いくつかの実施形態においては、半導体基板から、TiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを除去するための方法であり、この方法は、
(a)0.1重量%〜90重量%の少なくとも1つの酸化剤と、
(b)0.0001重量%〜50重量%のカルボン酸と、
(c)脱イオン水を含む除去組成物の100重量%までの残部と
を含む除去組成物と半導体基板とを接触させることを含む。
いくつかの実施形態においては、この方法は、除去組成物を60℃まで加熱することを更に含む。除去組成物を加熱することは、半導体基板を接触させる前又は後に行われることができる。いくつかの実施形態においては、この方法は、20〜45、50、53、又は60℃の温度で少なくとも2分間、除去組成物と半導体基板とを接触させることを含む。いくつかの実施形態においては、この方法は、60℃までの温度で少なくとも2分、除去組成物と半導体基板とを接触させることを含む。
本開示に従って調製され、且つ、Ti及びWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiに対して本質的に高いエッチング速度を示す組成物は、例えば、65℃未満などの比較的低い温度でプロセッシングを可能にする。比較的低い温度でのプロセスは、酸化剤分解速度の低下を示し、結果として、有用な組成物バスライフ及びポットライフを延長する。更に、デバイスプロセッシング時間を低減させ、これによりスループットを増加させることができることから、Ti及びWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiに対する高い且つ選択的なエッチング速度を示す本発明による組成物が望まれる。典型的には、Ti及びWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiの高いエッチング速度は、プロセス温度を増加させることによって達成されている。しかしながら、単一ウェハープロセスアプリケーションにおいては、最も高いプロセッシング温度が、約75℃であり、この結果、これが、TiNに対するエッチング速度の上限を制限し、これにより、デュアルダマシン構造からTiNハードマスクを完全に除去するものの能力を制限する場合がある。本発明による組成物は、20℃〜60℃の温度範囲で単一ウェハーツールアプリケーションで、Ti及びWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiに対する高いエッチング速度を効果的にもたらすことができ、且つ、Ti及びWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiのハードマスクは、必要であれば、単一ウェーハアプリケーションプロセス装置で完全に除去可能である。
いくつかの実施形態においては、除去組成物は、20〜45、50、53、又は60℃の温度、且つ、2〜14のpHである。いくつかの実施形態においては、除去組成物は、20〜45、50、53、又は60℃の温度、且つ、5〜12のpHである。いくつかの実施形態においては、除去組成物は、20、30、又は45〜50、53、又は60℃の温度、且つ、2〜14のpHである。いくつかの実施形態においては、除去組成物は、20、30、又は45〜50、53、又は60℃の温度、且つ、5〜12のpHである。
除去組成物は、選択された操作温度で少なくとも35時間まで安定化されるエッチング速度を有する。いくつかの実施形態においては、選択された操作温度は、20〜45、50、53、又は60℃である。
好ましい実施形態においては、カルボン酸アンモニウムの濃度は、0.001重量%〜50重量%までである。本発明の組成物は、前述の低k誘電材料を含み、且つ、半導体基板であって、その上にTi及び/又はWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiのハードマスクを有する半導体基板から、低k誘電、Cu、Co、SiON、SlCN、及びTEOS材料に対して、Ti及び/又はWの合金を含む、TiN、TaN、TiNxOy、TiW、W、Tiから基本的になるハードマスクを選択的に除去することに効果的である。更に又、組成物は、基板から、フォトレジスト、重合性材料、エッチング残留物、及び酸化銅を同時に除去することにおいて機能する。
本明細書において記載される本発明の概念による組成物及び方法は、特に、単一ウェハー装置における単一ウェハーをプロセッシングすることに適用可能である。高いTiNエッチング速度が必要とされる場合、通常の方法は、高いプロセス温度でウェハーを加工することになる。しかしながら、相対的に高い温度は、バスライフ及びポットライフを短縮する酸化剤の劣化に関与することが知られている。満足な結果は、引き戻しスキーム(pullback scheme)を与えるために、或いはハードマスクがTiNを含む場合にハードマスクを完全に除去するために、20℃〜60℃の範囲での実質的に相対的に低い温度で実現可能であることが、本明細書において記載される本発明の概念によって認められた。
本発明による除去組成物は、以下の本発明の概念及び実施例を参照することによって、ここに詳細に説明されるが、各試験に関して示されるこれらの実施例及び結果によって、本発明が限定されることはない。以下により詳細に記載されるように、本発明の組成物は、多種多様な特定の製剤で例示されることができる。組成物の特定の成分が、ゼロの下限を含む重量百分率の範囲を参照して記載される場合、すべてのこうした組成物において、こうした成分は、組成物の様々な特定の実施形態において存在してもしなくてもよく、こうした成分が存在する例において、それらは、こうした成分が使用される組成物の総重量に基づいて、0.0001重量%までの低さの濃度で存在することができることを理解されよう。
以下の実施例では、除去組成物の100gの試料を、本明細書において記載される本発明の概念によって調製した。各試料組成物は、対応する製剤群列に示される重量で以下の様々な表に列挙される各々の成分を含む。例えば、表1に示される「1」と表される試料組成物の100gの量は、2gの10%酒石酸アンモニウム水溶液、7.21gの10%DGA水溶液、12.43gの1.5%BTA水溶液、60gの過酸化水素(30%水溶液)、及び18.36gの脱イオン水(DIW)を含んだ。除去組成物は使用の時点で調製されることができ、又は酸化剤を含まず事前に都合よく調製されることができ、次いで、酸化剤が加えられる時に使用の時点で取ることができる。又、様々な成分を混合する又はブレンドするための特定の順序はない。
エッチング速度を決定するためのブランクウェハーは、以下の通り購入した:
Cuブランクウェハー − Silicon Valley Microelectronics,Inc.から、
Coブランクウェハー − Silicon Valley Microelectronics,Inc.から、
TiNブランクウェハー − Silyb wafer servicesから、
W − Silicon Valley Microelectronics,Inc.から、
TEOS − Silicon Valley Microelectronics,Incから、
BDII及びBDIIIブランクウェハー − DK Nanotechnologyから。
TiN、Cu、Co、W、及びTEOSエッチング速度
エッチング速度評価を、各実施例にて記載される温度で、TiNについては1分及び2分の化学処理の後、Cu、Co、W、及びTEOSについては10分の化学処理の後、実行した。TiN、Cu、Co、及びWの厚さを、Four Dimensions Four Point Probe Meter 333Aを使用して測定し、この結果、フィルムの抵抗性は、本発明の組成物との接触の後に残ったフィルムの厚さと相関した。TEOSの厚さを、HORIBA JOBIN YVONによってAuto SE Spectroscopic Ellipsometerを用いて測定した。エッチング速度を、化学処理時間で割った厚さ変化(化学処理の前後で)として算出した。化学溶液のpHを、Beckman 260 pH/Temp/mV meterを用いて測定した。実験で使用した過酸化水素は、J.T.Bakerから供給された。残留物除去効率及びTiNハードマスクエッチングを、SEM結果(Hitachi S−5500)から評価した。
表1に示す組成物を、溶媒として脱イオン水、Cu腐食抑制剤としてBTA、又はBTA及びピラゾールの混合物、酸化剤として過酸化水素、並びにpHを調整するための塩基としてジグリコールアミン(DGA)又は水酸化ベンジルトリメチルアンモニウム(BTAH)を用いて調製した。TiN及びCuエッチング速度評価を、50℃の温度及び約8のpHで前述の通り実行した。
Figure 2016535819
組成物1、2、及び3は、50℃〜53℃の範囲での比較的低温度で178Å/分〜340Å/分までの範囲でのTiNの除去速度を実証した。3Å/分未満の銅のエッチング速度は、商用のウェハープロセッシングにおいて良好であるとみなされる。
ここで、図を参照すると、図1A及び1Bは、デュアルダマシン製造工程後に、しかし、除去組成物での処理前に、受け取られたままの、それぞれ、トレンチ及びビアを示す半導体ウェハー部分のSEM画像である。図2A及び2Bは、50℃の温度で90秒間にわたり除去組成物1と接触した後の、図1A及び1Bに示されるウェハー部分に類似した、ウェハー部分の図である。残留物を除去したが、いくらかのTiNハードマスクが図2Aに記載したように残留した。図3A及び3Bは、TiNハードマスク及び残留物が完全に除去された、50℃の温度で90秒間にわたり除去組成物2と接触した後の、図1A及び1Bに示されるウェハー部分に類似した、ウェハー部分の図である。図4a及び4Bは、53℃の温度で90秒間にわたり除去組成物3と接触した後の、図1A及び1Bに示されるウェハー部分に類似した、ウェハー部分の図である。TiNハードマスク及び残留物は完全に除去された。
表2に示す組成物を、溶媒として脱イオン水、Cu腐食抑制剤としてBTA、酸化剤として過酸化水素、並びにpHを調整するための塩基として水酸化テトラメチルアンモニウム(TMAH)を用いて調製した。TiN及びCuエッチング速度評価を、60℃の温度及び約7.8のpHで前述の通り実行した。
示される量で、乳酸アンモニウム、酒石酸アンモニウム、炭酸アンモニウム、及びクエン酸アンモニウム三塩基酸を、それぞれ含む各々の除去組成物は、カルボン酸アンモニウムを含まない、対応する対照、組成物4と比較して、より高いTiNエッチング速度を実証した。
Figure 2016535819
表3に示す製剤を調製し、TiN及びCuエッチング速度評価を、50℃の温度及び8のpHで前述の通り実行した。除去組成物は、カルボン酸アンモニウムを含まない対照、組成物9と比較して、より高いTiNエッチング速度及び同様の銅エッチング速度を実証した。
Figure 2016535819
表4に示す製剤を、pHを調整するためにDGAを使用して調製し、BTAを銅腐食抑制剤として使用した。TiN及びCuエッチング速度評価を、50℃の温度及び8のpHで前述の通り実行した。除去組成物は、カルボン酸アンモニウムを含まない対照、組成物13と比較して、より高いTiNエッチング速度及び同様のCuエッチング速度を実証した。
Figure 2016535819
表5に示す製剤を、pHを調整するためにTMAHを使用して調製し、BTAを銅腐食抑制剤として使用した。TiN及びCuエッチング速度評価を、50℃の温度及び8のpHで前述の通り実行した。除去組成物は、カルボン酸アンモニウムを含まない対照、組成物17と比較して、より高いTiNエッチング速度及び同様のCuエッチング速度を実証した。
Figure 2016535819
表6に示す製剤を、pHを調整するために水酸化ベンジルトリメチルアンモニウム(BTAH)を使用して調製し、BTAを銅腐食抑制剤として使用した。TiN及びCuエッチング速度評価を、50℃の温度及び約8のpHで前述の通り実行した。除去組成物は、カルボン酸アンモニウムを含まない対照、組成物21と比較して、より高いTiNエッチング速度及び同様のCuエッチング速度を実証した。
Figure 2016535819
表7に示す製剤を、pHを調整するために水酸化テトラエチルアンモニウム(TEAH)を使用して調製し、BTAを銅腐食抑制剤として使用した。TiN及びCuエッチング速度評価を、50℃の温度及び8のpHで前述の通り実行した。除去組成物は、カルボン酸アンモニウムを含まない対照、組成物25と比較して、より高いTiNエッチング速度及び同様のCuエッチング速度を実証した。
Figure 2016535819
表8に示す製剤を、pHを調整するためにDGAを使用して調製したが、銅腐食抑制剤は使用しなかった。TiN及びTEOS除去速度評価を、50℃の温度及び約8のpHで前述の通り実行した。除去組成物は、カルボン酸アンモニウムを含まない対照、組成物31と比較して、より高いTiNエッチング速度を実証した。
1.46重量%〜3重量%未満の濃度における炭酸アンモニウム、酢酸アンモニウム、シュウ酸アンモニウム、乳酸アンモニウム、及び酒石酸アンモニウムの存在は、作用して、例えば、50℃などの比較的低い温度で、非常に高いTiNエッチング速度をもたらす能力を有する本発明の除去組成物を提供する。記載及び特許請求される発明の概念により、炭酸アンモニウム、酢酸アンモニウム、シュウ酸アンモニウム、乳酸アンモニウム、又は酒石酸アンモニウムは、対照、組成物31と比較して、TEOS除去速度における有意な効果を有さなかったことは、注目に値する。
Figure 2016535819
表9に示す製剤を、pH調整剤を用いずに調製した。使用したCu腐食抑制剤は、商用のBTA及びトリルトリアゾールの混合物、WintrolA−90であった。所望のTiN及びCuエッチング速度並びにpHを、過酸化水素及びカルボン酸アンモニウム濃度を変動させることによって得た。これらの実施例においては、様々な濃度におけるいくつかのカルボン酸を使用した。過酸化水素濃度は、20重量%又は80重量%であった。製剤のpHは、pH4.3の低さからpH8.3までの範囲であり、且つ、TiNエッチング速度、即ち、除去速度は、11Å/分の低さから228Å/分までの範囲であった。
Figure 2016535819
表10に示す製剤を、pH調整剤を用いずに、酒石酸又はTMAHを用いて調製した。WintrolA−90を、Co腐食抑制剤として使用した。これらの実施例においては、様々な濃度におけるいくつかのカルボン酸を使用した。過酸化水素濃度は、10重量%〜80重量%の範囲であった。製剤のpHは、pH5の低さからpH10までの範囲であった。Coエッチング速度は、すべての場合において有意ではなかった(即ち、最も高いCoエッチング速度は1.48Å/分であった)。
Figure 2016535819
除去組成物54における乳酸アンモニウム及び酒石酸アンモニウムの混合物は、カルボン酸アンモニウムを含まない対照、組成物53と比較して、より高いTiNエッチング速度を示したことを、表11に示した結果は実証している。
Figure 2016535819
表12に示す製剤を、pHを調整するためにTMAHを使用して調製し、BTAを銅腐食抑制剤として使用した。使用したカルボン酸は、それぞれ、組成物56、57、及び58におけるクエン酸カリウム三塩基酸一水和物、酒石酸ナトリウムカリウム四水化物、及びL−乳酸カリウムであった。これらの組成物はそれぞれ、カルボン酸を含まない対照、組成物55と比較して、より高いTiNエッチング速度及び同様のCuエッチング速度を実証した。
Figure 2016535819
0.001%までの低さのカルボン酸アンモニウム濃度で、除去組成物60〜63は、対照、組成物59と比較して、より高いTiNエッチング速度及び同様のCu及びCoエッチング速度を示したことを、表13に示した結果は示している。
Figure 2016535819
50重量%の酢酸アンモニウム濃度で、除去組成物65は、カルボン酸アンモニウムを含まない対照、組成物64と比較して、より高いTiNエッチング速度及び同様のCu及びCoエッチング速度を示したことを、表14に示した結果は実証している。
Figure 2016535819
タングステン(W)エッチング速度
表15に示した製剤を調製し、W(タングステン)エッチング速度評価を、TiN除去に関連して45℃及び55℃の温度で前述の通り実行した。
Figure 2016535819
表15は、1.172重量%〜3重量%の濃度、及び約4からわずかに11を超える範囲のpHにおけるカルボン酸アンモニウムの存在は、同じpHでの対応するカルボン酸アンモニウムを含まない対照、組成物66、70、及び72と比較して、W除去速度を著しく増加させることを示したことを実証している。
除去組成物安定性
ポットライフは、時間経過にわたる機能性において、時間経過にわたり、且つ、有意な変動がなく最適に機能する除去組成物製剤の能力の尺度である。ポットライフは、温度に強く関連している。高温での長時間の処理の後、混合物における化学物質は、分解する場合があり、調剤は、機能性を消失することになる。ポットライフの検証を以下の通り行った(本発明の除去組成物のエッチング速度は一定に留まり、その間の期間及び程度を確認すること)。1200グラムの原液を調製し50℃に維持した。150グラムの試料を加熱した原液から除去し、50℃で特定の回数でのTiN及びCuエッチング速度並びにpH検証のために使用した。試料を各エッチング速度測定の後に廃棄した。
酒石酸アンモニウムが0.3重量%の濃度でカルボン酸アンモニウムとして選択される、記載及び特許請求される発明の概念によって、除去組成物を調製した。1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)を、製剤74、75におけるアミノポリカルボン酸キレート剤として選択し、キレート剤(CDTA)は、対照製剤76に含まれなかった。組成物を表16に示す。結果を表17に示す。
Figure 2016535819
Figure 2016535819
除去組成物74及び75においてはCDTAを有し、TiNエッチング速度は、22時間の期間にわたって、安定に、即ち、実質的に一定に留まったことを、表17に示すデータは実証した。当初のTiNエッチング速度は、157Å/分であり、22時間の期間にわたり、組成物75においては156.4Å/分に留まった。組成物74においては、当初のTiNエッチング速度は、168.1Å/分であり、22時間の期間にわたり156.6Å/分に留まった。組成物76においてはCDTAを有さず、TiNエッチング速度は、22時間で、219Å/分の当初のエッチング速度から99.9Å/分のエッチング速度まで減少した。
Figure 2016535819
Figure 2016535819
除去組成物77においてはCDTAを有し、TiNエッチング速度は、35時間の期間にわたって、安定に、即ち、実質的に一定に留まったことを、表19に示すデータは実証した。当初のTiNエッチング速度は、174Å/分であり、組成物77においては、35時間の期間で160Å/分である。組成物78においてはCDTAを有さず、TiNエッチング速度は、35時間で、212Å/分の当初のエッチング速度から110Å/分のエッチング速度まで減少した。
四アンモニウムエチレンジアミン四酢酸、三アンモニウムエチレンジアミン四酢酸、及び二アンモニウムエチレンジアミン四酢酸を、表20で指定された濃度においてカルボン酸アンモニウムとして選択した、記載及び特許請求される発明の概念によって、除去組成物を調製した。1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)を、製剤79、80、及び81のアミノポリカルボン酸キレート剤として選択した。組成物を表20に示す。
試料を、0、4、8、24、28、32、及び35時間の間隔で除去組成物から取り、TiN及びCuエッチング速度を測定した。結果を表21に示す。
Figure 2016535819
Figure 2016535819
除去組成物79、80、及び81においてはCDTAを有し、TiNエッチング速度は、35時間の期間にわたって、安定に、即ち、実質的に一定に留まったことを、表21に示すデータは実証した。当初のTiNエッチング速度は、192Å/分であり、組成物79においては、35時間の期間にわたり176Å/分である。組成物80においては、当初のTiNエッチング速度は、181Å/分であり、35時間の期間にわたり171Å/分である。組成81においては、当初のTiNエッチング速度は、167Å/分であり、35時間の期間で160Å/分である。
酒石酸アンモニウムを0.3の重量%の濃度におけるカルボン酸アンモニウムとして選択した、記載及び特許請求される発明の概念によって、除去組成物を調製した。1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸(CDTA)を、製剤82及び83におけるアミノポリカルボン酸キレート剤として選択した。組成物を表22に示す。試料を、0、4、8、24、28、32、及び35時間の間隔で除去組成物から取り、TiN及びCuエッチング速度を測定した。結果を表23に示す。
Figure 2016535819
Figure 2016535819
除去組成物82及び83においては、それぞれ0.001%及び0.005%のCDTAを有し、TiNエッチング速度は、35時間の期間にわたって、安定に、即ち、実質的に一定に留まったことを、表23に示すデータは実証した。当初のTiNエッチング速度は、42Å/分であり、組成物82においては、35時間の期間にわたり36Å/分に留まった(16.67%の減少したTiNエッチング速度)。組成83においては、当初のTiNエッチング速度は、48Å/分であり、35時間の期間にわたり45Å/分に留まった(6.3%の減少したTiNエッチング速度)。比較のために、CDTAを有さない対照製剤84では、当初のTiNエッチング速度は、47Å/分であり、35時間の期間にわたり30Å/分であり、36%の減少したTiNエッチング速度を示した。CDTAは、TiNエッチング速度を安定化させる。
表24に示す製剤を、pHを調整するためにTEAHを使用して調製し、BTAを銅腐食抑制剤として使用した。CDTAを使用してTiNエッチング速度を安定化させた。
表24の製剤のポットライフ検証を、前述の方法に従って行った。試料を、0、4、8、24、28、32、及び35時間の間隔で取り、TiN及びCuエッチング速度並びにpHを測定した。結果を表25に示す。
Figure 2016535819
Figure 2016535819
除去組成物85、86、及び87においては、それぞれ1%、2%、及び3%のCDTAを有し、TiNエッチング速度は、35時間の期間にわたって、安定に、即ち、実質的に一定に留まったことを、表25に示すデータは実証した。当初のTiNエッチング速度は、170Å/分であり、組成物85においては、35時間の期間で159Å/分に留まった。組成86においては、当初のTiNエッチング速度は、170Å/分であり、35時間の期間で158Å/分に留まった。組成87においては、当初のTiNエッチング速度は、178Å/分であり、35時間の期間で166Å/分に留まった。比較のために、CDTAを有さない対照製剤88では、当初のTiNエッチング速度は、233Å/分であり、35時間の期間にわたり136Å/分である。CDTAは、TiNエッチング速度を安定化させる。
表26に示す製剤を、pHを調整するためにDGAを使用して調製し、BTAを銅腐食抑制剤として使用した。四アンモニウムエチレンジアミン四酢酸を使用してTiNエッチング速度を安定化させた。
表26の製剤のポットライフ検証を、前述の方法に従って行った。試料を、0、2、4、8、24、28及び35時間の間隔で取り、TiN及びCuエッチング速度並びにpHを測定した。結果を表27に示す。
Figure 2016535819
Figure 2016535819
除去組成物89においてはエチレンジアミン四酢酸四アンモニウムを有し、TiNエッチング速度は、35時間の期間にわたって、安定に、即ち、実質的に一定に留まったことを、表27に示すデータは実証した。当初のTiNエッチング速度は、224Å/分であり、35時間で179Å/分である(35時間後に20%のTiNエッチング速度低下)。組成90においてはエチレンジアミン四酢酸四アンモニウムを有さず、エッチング速度は、143Å/分の当初の速度から、35時間後に57Å/分の速度まで低下した(35時間後に60%のTiNエッチング速度低下)。エチレンジアミン四酢酸四アンモニウムは、TiNエッチング速度を安定化させる。
表28の製剤を、pHを調整するためにDGAを使用して調製した。BTAを、銅腐食抑制剤として使用した。選択したカルボン酸アンモニウムは、四アンモニウムEDTAであった。除去組成物81におけるエチレンジアミン四酢酸四アンモニウムは、カルボン酸アンモニウムを含まない対照、組成物82と比較して、より高いTiNエッチング速度を示したことを、表28に示した結果は示している。
Figure 2016535819
Figure 2016535819
除去組成物81においてはエチレンジアミン四酢酸四アンモニウムを有し、当初のTiNエッチング速度は、233Å/分であり、28時間の期間で198Å/分であることを、表29に示す実験結果は実証した。組成92においてはエチレンジアミン四酢酸四アンモニウムを有さず、TiNエッチング速度は、134Å/分の当初の速度から、28時間で61Å/分の速度まで低下した。
本発明の除去組成物におけるカルボン酸アンモニウムの存在は、表2〜8、11、13〜15、26及び27に示すように、TiNエッチング速度を増加させただけでなく、それらの存在が作用して、例えば、少なくとも35時間までの長期間にわたり、TiNエッチング速度を安定化させるという結論をデータは指示している。
本発明の概念のいくつかの実施形態が記載されている。しかしながら、当業者は、本発明が、記載される実施形態に限定されないことを認識するであろう。本発明の概念は、添付の特許請求の範囲の趣旨及び範囲内で修正及び変更を伴い実践されることができる。

Claims (19)

  1. 半導体基板から、TiN、TaN、TiNxOy、TiW、W、Ti、並びにTi及びWの合金から基本的になるハードマスクを除去するための方法であって、
    (a)0.1重量%〜90重量%の少なくとも1つの酸化剤と、
    (b)0.0001重量%〜50重量%のカルボン酸と、
    (c)脱イオン水を含む除去組成物の100重量%までの残部と
    を含む前記除去組成物と前記半導体基板とを接触させることを含む、方法。
  2. 前記酸化剤は、過酸化水素(H2O2)、n−メチルモルホリン酸化物(NMMO又はNMO)、ベンゾイルペルオキシド、テトラブチルアンモニウム過酸化モノ硫酸、オゾン、塩化第二鉄、過マンガン酸ペルオキソホウ酸、過塩素酸、ペルオキソ硫酸、ペルオキシ二硫酸アンモニウム、過酢酸、尿素ヒドロペルオキシド、硝酸(HNO3)、亜塩素酸アンモニウム(NH4ClO2)、塩素酸アンモニウム(NH4ClO3)、ヨウ素酸アンモニウム(NH4IO3)、過ホウ酸アンモニウム(NH4BO3)、過塩素酸アンモニウム(NH4ClO4)、過ヨウ素酸アンモニウム(NH4IO3)、過硫酸アンモニウム((NH4)2S2O8)、亜塩素酸テトラメチルアンモニウム((N(CH3)4)ClO2)、塩素酸テトラメチルアンミオニウム((N(CH3)4)ClO3)、ヨウ素酸テトラメチルアンモニウム((N(CH3)4)IO3)、過ホウ酸テトラメチルアンモニウム((N(CH3)4)BO3)、過塩素酸テトラメチルアンモニウム((N(CH3)4)ClO4)、過ヨウ素酸テトラメチルアンモニウム((N(CH3)4)IO4)、過硫酸テトラメチルアンモニウム((N(CH3)4)S2O8)、((CO(NH2)2)H2O2)、過酢酸(CH3(CO)OOH)、及びそれらの混合物からなる群から選択され、ならびに、
    前記カルボン酸は、クエン酸カリウム三塩基酸一水和物、酒石酸ナトリウムカリウム四水和物、L−乳酸カリウム、及びそれらの混合物からなる群から選択される、請求項1に記載の方法。
  3. 前記除去組成物は、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、及びエチレンジアミン−N,N’ービス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸、及びトリエチレンテトラミン六酢酸(TTHA)からなる群から選択される、0.001重量%〜20重量%の、アミノ酸、アミノポリカルボン酸、カルボン酸、ポリカルボン酸、又はそれらの混合物を更に含む、請求項2に記載の方法。
  4. 前記除去組成物は、0.0001重量%〜50重量%までの金属腐食抑制剤を更に含む、請求項2に記載の方法。
  5. 前記除去組成物は、少なくとも1つの塩基、少なくとも1つの酸、又はそれらの混合物を更に含み、前記塩基は、四級アンモニウム塩、一級アミン、二級アミン、三級アミン、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム(TEAH)、水酸化ベンジルトリメチルアンモニウム(BTAH)、モノエタノールアミン(MEA)、ジグリコールアミン(DGA)、トリエタノールアミン(TEA)、水酸化テトラブチホスホニウム(TBPH)、及びそれらの混合物からなる群から選択され、前記酸は、無機酸、有機酸、又はそれらの混合物からなる群から選択される、請求項2に記載の方法。
  6. 前記除去組成物は、
    i)1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、及びエチレンジアミン−N,N’ービス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸、及びトリエチレンテトラミン六酢酸(TTHA)からなる群から選択される、0.001重量%〜20重量%の、アミノ酸、アミノポリカルボン酸、カルボン酸、ポリカルボン酸、又はそれらの混合物と、
    ii)0.0001重量%〜50重量%までの、金属腐食抑制剤又は金属腐食抑制剤の混合物と
    を更に含む、請求項2に記載の方法。
  7. 前記除去組成物は、
    i)1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、及びエチレンジアミン−N,N’ービス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸、及びトリエチレンテトラミン六酢酸(TTHA)からなる群から選択される、0.001重量%〜20重量%の、アミノ酸、アミノポリカルボン酸、カルボン酸、ポリカルボン酸、又はそれらの混合物と、
    ii)0.0001重量%〜50重量%までの、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
    iii)少なくとも1つの塩基、少なくとも1つの酸、又はそれらの混合物と
    を更に含み、前記塩基は、四級アンモニウム塩、一級アミン、二級アミン、三級アミン、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム(TEAH)、水酸化ベンジルトリメチルアンモニウム(BTAH)、モノエタノールアミン(MEA)、ジグリコールアミン(DGA)、トリエタノールアミン(TEA)、水酸化テトラブチホスホニウム(TBPH)、及びそれらの混合物からなる群から選択され、前記酸は、無機酸、カルボン酸、アミノ酸、ヒドロキシカルボン酸、ポリカルボン酸、及びそれらの混合物からなる群から選択される、請求項2に記載の方法。
  8. 前記カルボン酸は、カルボン酸アンモニウムである、請求項1に記載の方法。
  9. 前記酸化剤は、過酸化水素(H2O2)、n−メチルモルホリン酸化物(NMMO又はNMO)、ベンゾイルペルオキシド、テトラブチルアンモニウム過酸化モノ硫酸、オゾン、塩化第二鉄、過マンガン酸ペルオキソホウ酸、過塩素酸、ペルオキソ硫酸、ペルオキシ二硫酸アンモニウム、過酢酸、尿素ヒドロペルオキシド、硝酸(HNO3)、亜塩素酸アンモニウム(NH4ClO2)、塩素酸アンモニウム(NH4ClO3)、ヨウ素酸アンモニウム(NH4IO3)、過ホウ酸アンモニウム(NH4BO3)、過塩素酸アンモニウム(NH4ClO4)、過ヨウ素酸アンモニウム(NH4IO3)、過硫酸アンモニウム((NH4)2S2O8)、亜塩素酸テトラメチルアンモニウム((N(CH3)4)ClO2)、塩素酸テトラメチルアンミオニウム((N(CH3)4)ClO3)、ヨウ素酸テトラメチルアンモニウム((N(CH3)4)IO3)、過ホウ酸テトラメチルアンモニウム((N(CH3)4)BO3)、過塩素酸テトラメチルアンモニウム((N(CH3)4)ClO4)、過ヨウ素酸テトラメチルアンモニウム((N(CH3)4)IO4)、過硫酸テトラメチルアンモニウム((N(CH3)4)S2O8)、((CO(NH2)2)H2O2)、過酢酸(CH3(CO)OOH)、及びそれらの混合物からなる群から選択され、ならびに、
    前記カルボン酸アンモニウムは、シュウ酸アンモニウム、乳酸アンモニウム、酒石酸アンモニウム、クエン酸アンモニウム三塩基酸、酢酸アンモニウム、カルバミン酸アンモニウム、炭酸アンモニウム、安息香酸アンモニウム、アンモニウムエチレンジアミン四酢酸、二アンモニウムエチレンジアミン四酢酸、三アンモニウムエチレンジアミン四酢酸、四アンモニウムエチレンジアミン四酢酸、コハク酸アンモニウム、ギ酸アンモニウム、1−H−ピラゾール−3−カルボン酸アンモニウム、及びそれらの混合物からなる群から選択される、請求項8に記載の方法。
  10. 前記除去組成物は、1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、及びエチレンジアミン−N,N’ービス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸、及びトリエチレンテトラミン六酢酸(TTHA)からなる群から選択される、0.001重量%〜20重量%の、アミノ酸、アミノポリカルボン酸、カルボン酸、ポリカルボン酸、又はそれらの混合物を更に含む、請求項9に記載の方法。
  11. 前記除去組成物は、0.0001重量%〜50重量%までの金属腐食抑制剤を更に含む、請求項9に記載の方法。
  12. 前記除去組成物は、少なくとも1つの塩基、少なくとも1つの酸、又はそれらの混合物を更に含み、前記塩基は、四級アンモニウム塩、一級アミン、二級アミン、三級アミン、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム(TEAH)、水酸化ベンジルトリメチルアンモニウム(BTAH)、モノエタノールアミン(MEA)、ジグリコールアミン(DGA)、トリエタノールアミン(TEA)、水酸化テトラブチホスホニウム(TBPH)、及びそれらの混合物からなる群から選択され、前記酸は、無機酸、有機酸、又はそれらの混合物からなる群から選択される、請求項9に記載の方法。
  13. 前記除去組成物は、
    i)1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、及びエチレンジアミン−N,N’ービス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸、及びトリエチレンテトラミン六酢酸(TTHA)からなる群から選択される、0.001重量%〜20重量%の、アミノ酸、アミノポリカルボン酸、カルボン酸、ポリカルボン酸、又はそれらの混合物と、
    ii)0.0001重量%〜50重量%までの、金属腐食抑制剤又は金属腐食抑制剤の混合物と
    を更に含む、請求項9に記載の方法。
  14. 前記除去組成物は、
    i)1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸、エチレンジアミン四酢酸、ニトリロ三酢酸、ジエチレントリアミン五酢酸、1,4,7,10−テトラアザシクロドデカン−1,4,7,10−四酢酸、エチレングリコール四酢酸(EGTA)、1,2−ビス(o−アミノフェノキシ)エタン−N,N,N’,N’−四酢酸、N−{2−[ビス(カルボキシメチル)アミノ]エチル}−N−(2−ヒドロキシエチル)グリシン(HEDTA)、及びエチレンジアミン−N,N’ービス(2−ヒドロキシフェニル酢酸)(EDDHA)、ジオキサオクタメチレンジニトリロ四酢酸、及びトリエチレンテトラミン六酢酸(TTHA)からなる群から選択される、0.001重量%〜20重量%の、アミノ酸、アミノポリカルボン酸、カルボン酸、ポリカルボン酸、又はそれらの混合物と、
    ii)0.0001重量%〜50重量%までの、金属腐食抑制剤又は金属腐食抑制剤の混合物と、
    iii)少なくとも1つの塩基、少なくとも1つの酸、又はそれらの混合物と
    を更に含み、前記塩基は、四級アンモニウム塩、一級アミン、二級アミン、三級アミン、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム(TEAH)、水酸化ベンジルトリメチルアンモニウム(BTAH)、モノエタノールアミン(MEA)、ジグリコールアミン(DGA)、トリエタノールアミン(TEA)、水酸化テトラブチホスホニウム(TBPH)、及びそれらの混合物からなる群から選択され、前記酸は、無機酸、カルボン酸、アミノ酸、ヒドロキシカルボン酸、ポリカルボン酸、及びそれらの混合物からなる群から選択される、請求項9に記載の方法。
  15. 前記除去組成物は、0.001重量%〜20重量%の1,2−シクロヘキサンジアミン−N,N,N’,N’−四酢酸を更に含む、請求項9に記載の方法。
  16. 前記カルボン酸アンモニウムは、酒石酸アンモニウムから選択される、請求項9に記載の方法。
  17. 前記カルボン酸アンモニウムは、アンモニウムエチレンジアミン四酢酸、二アンモニウムエチレンジアミン四酢酸、三アンモニウムエチレンジアミン四酢酸、四アンモニウムエチレンジアミン四酢酸、及びそれらの混合物からなる群から選択される、請求項9に記載の方法。
  18. ハードマスクのエッチング速度が、少なくとも35時間まで安定化される、請求項9に記載の方法。
  19. 前記除去組成物を60℃まで加熱することを更に含む、請求項1に記載の方法。
JP2016521931A 2013-10-11 2014-10-09 ハードマスクを選択的に除去するための除去組成物及びその方法 Pending JP2016535819A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361889968P 2013-10-11 2013-10-11
US61/889,968 2013-10-11
US14/103,303 US20150104952A1 (en) 2013-10-11 2013-12-11 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
US14/103,303 2013-12-11
USPCT/US2013/074356 2013-12-11
PCT/US2014/059848 WO2015054464A1 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
PCT/US2013/074356 WO2015053800A2 (en) 2013-10-11 2014-11-14 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper

Publications (2)

Publication Number Publication Date
JP2016535819A true JP2016535819A (ja) 2016-11-17
JP2016535819A5 JP2016535819A5 (ja) 2017-11-09

Family

ID=52810036

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016522060A Active JP6523269B2 (ja) 2013-10-11 2014-10-09 ハードマスクを選択的に除去するための除去組成物
JP2016521931A Pending JP2016535819A (ja) 2013-10-11 2014-10-09 ハードマスクを選択的に除去するための除去組成物及びその方法
JP2016521999A Pending JP2017502491A (ja) 2013-10-11 2014-11-14 低k誘電材料及び銅を含む半導体デバイス基板から金属ハードマスク及びその他の残留物を選択的に除去するための方法及び組成物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016522060A Active JP6523269B2 (ja) 2013-10-11 2014-10-09 ハードマスクを選択的に除去するための除去組成物

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016521999A Pending JP2017502491A (ja) 2013-10-11 2014-11-14 低k誘電材料及び銅を含む半導体デバイス基板から金属ハードマスク及びその他の残留物を選択的に除去するための方法及び組成物

Country Status (6)

Country Link
US (4) US20150104952A1 (ja)
JP (3) JP6523269B2 (ja)
KR (3) KR102334603B1 (ja)
CN (3) CN105874562B (ja)
TW (3) TW201522574A (ja)
WO (1) WO2015053800A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018093225A (ja) * 2015-05-01 2018-06-14 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化チタンハードマスク及びエッチ残留物除去
JP2019075546A (ja) * 2017-08-25 2019-05-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体デバイスの製造中に窒化チタンに対して窒化タンタルを選択的に除去するためのエッチング液

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
CN106661382B (zh) * 2014-07-15 2020-03-24 巴斯夫欧洲公司 化学机械抛光(cmp)组合物
JP6486957B2 (ja) * 2014-10-31 2019-03-20 富士フイルム株式会社 Mramドライエッチング残渣除去組成物、磁気抵抗メモリの製造方法、及び、コバルト除去組成物
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
JP6626748B2 (ja) * 2016-03-09 2019-12-25 株式会社Adeka タンタル含有層用エッチング液組成物及びエッチング方法
WO2017167797A1 (en) * 2016-03-29 2017-10-05 Technic France Solution and method for etching titanium based materials
US10577571B2 (en) * 2016-11-08 2020-03-03 Ecolab Usa Inc. Non-aqueous cleaner for vegetable oil soils
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
WO2018181896A1 (ja) * 2017-03-31 2018-10-04 関東化學株式会社 チタン層またはチタン含有層のエッチング液組成物およびエッチング方法
CN107148156B (zh) * 2017-05-08 2019-06-28 广东光华科技股份有限公司 3,6-二氧杂-1,8-辛二胺四乙酸衍生物的应用及osp处理液
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107357143B (zh) 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
TWI773465B (zh) 2017-12-18 2022-08-01 美商恩特葛瑞斯股份有限公司 藉由原子層沉積塗覆所得之耐化學性多層塗層
US11499236B2 (en) 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
US11017995B2 (en) * 2018-07-26 2021-05-25 Versum Materials Us, Llc Composition for TiN hard mask removal and etch residue cleaning
GB201813368D0 (en) 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
KR20200032782A (ko) * 2018-09-18 2020-03-27 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
SG11202111994PA (en) 2019-05-01 2021-11-29 Fujifilm Electronic Materials U S A Inc Etching compositions
TW202106859A (zh) * 2019-06-03 2021-02-16 美商富士軟片電子材料美國股份有限公司 蝕刻組成物
US11268025B2 (en) 2019-06-13 2022-03-08 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
CN110459468A (zh) * 2019-08-29 2019-11-15 上海华力集成电路制造有限公司 TiN薄膜的刻蚀方法
US11499099B2 (en) * 2019-09-10 2022-11-15 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
EP3825441A1 (en) * 2019-11-21 2021-05-26 COVENTYA S.p.A. An electrolytic treatment device for preparing plastic parts to be metallized and a method for etching plastic parts
KR20210100258A (ko) * 2020-02-05 2021-08-17 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
CN113430060B (zh) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 用于移除硬遮罩的钨相容性清洗液、其制备方法及应用
CN113430072A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 移除硬遮罩的钴兼容性半水基清洗液、其制备方法及应用
CN113430063B (zh) * 2020-03-23 2024-02-23 上海新阳半导体材料股份有限公司 用于选择性移除硬遮罩的清洗液、其制备方法及应用
CN113430066B (zh) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 用于选择性移除硬遮罩的清洗组合物、其制备方法及应用
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
CN112323136A (zh) * 2020-10-26 2021-02-05 深圳市裕展精密科技有限公司 退镀液以及退镀方法
CN113161234B (zh) * 2021-04-27 2023-02-17 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的应用
CN113150884B (zh) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
JP2022184639A (ja) * 2021-06-01 2022-12-13 上村工業株式会社 銅エッチング液
US11550229B1 (en) 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices
JP2023166985A (ja) 2022-05-10 2023-11-22 東京応化工業株式会社 エッチング液
DE102022113998A1 (de) * 2022-06-02 2023-12-07 Betek Gmbh & Co. Kg Entschichtungslösung, Verfahren und Vorrichtung zum nasschemischen Entfernen einer PVD- oder CVD-Titannitrid-Schicht von einem Hartmetall-Trägerelement
CN115141629B (zh) * 2022-06-15 2023-06-02 湖北兴福电子材料股份有限公司 TiN去除液
CN115725369B (zh) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 一种清洗液组合物的应用

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209604A (ja) * 1997-01-17 1998-08-07 Hitachi Ltd プリント配線基板の製造方法並びにそれに用いる粗化液及び粗化液の調製方法
WO2010029867A1 (ja) * 2008-09-09 2010-03-18 昭和電工株式会社 チタン系金属、タングステン系金属、チタンタングステン系金属またはそれらの窒化物のエッチング液
JP2012129538A (ja) * 2012-02-03 2012-07-05 Hitachi Chem Co Ltd 半導体実装用導電基材の表面処理方法、ならびにこの処理方法を用いてなる導電基材および半導体パッケージ
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8701184A (nl) 1987-05-18 1988-12-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
CN1169196C (zh) 1997-04-03 2004-09-29 日本电气株式会社 制造半导体器件的方法
JP3039493B2 (ja) 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US7579308B2 (en) * 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US6358788B1 (en) * 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US7543592B2 (en) * 2001-12-04 2009-06-09 Ekc Technology, Inc. Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP2003339509A (ja) 2002-05-28 2003-12-02 Koji Okuda 縦型ハンガー掛け具
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
KR101056544B1 (ko) 2003-08-19 2011-08-11 아반토르 퍼포먼스 머티리얼스, 인크. 마이크로전자 기판용 박리 및 세정 조성물
CN1875325B (zh) * 2003-10-29 2011-01-26 马林克罗特贝克公司 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
JP4474914B2 (ja) * 2003-12-17 2010-06-09 東ソー株式会社 レジスト残渣剥離用組成物及びそれを用いた洗浄方法
MY139624A (en) 2004-03-01 2009-10-30 Avantor Performance Mat Inc Stripping and cleaning compositions for microelectronics
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP4577095B2 (ja) 2005-06-03 2010-11-10 東ソー株式会社 金属チタンのエッチング用組成物及びそれを用いたエッチング方法
CN101366107B (zh) 2005-10-05 2011-08-24 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂
CN101356629B (zh) * 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
TWI611047B (zh) * 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN105543023A (zh) * 2007-08-22 2016-05-04 大金工业株式会社 残渣除去液、残渣除去方法和半导体设备的制造方法
KR20100082012A (ko) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
EP2234145B1 (en) * 2007-12-21 2013-02-20 Wako Pure Chemical Industries, Ltd. Etching agent, etching method and liquid for preparing etching agent
JP5813280B2 (ja) * 2008-03-19 2015-11-17 富士フイルム株式会社 半導体デバイス用洗浄液、および洗浄方法
US7825079B2 (en) * 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
US8080475B2 (en) 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
KR101270560B1 (ko) 2010-11-12 2013-06-03 오씨아이 주식회사 금속막 식각용 조성물
KR20120066950A (ko) 2010-12-15 2012-06-25 삼성전자주식회사 식각액, 이를 이용한 표시 장치 및 그 제조 방법
US9257270B2 (en) 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal
US8835326B2 (en) 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
US9070625B2 (en) 2012-01-04 2015-06-30 International Business Machines Corporation Selective etch chemistry for gate electrode materials
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209604A (ja) * 1997-01-17 1998-08-07 Hitachi Ltd プリント配線基板の製造方法並びにそれに用いる粗化液及び粗化液の調製方法
WO2010029867A1 (ja) * 2008-09-09 2010-03-18 昭和電工株式会社 チタン系金属、タングステン系金属、チタンタングステン系金属またはそれらの窒化物のエッチング液
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
JP2012129538A (ja) * 2012-02-03 2012-07-05 Hitachi Chem Co Ltd 半導体実装用導電基材の表面処理方法、ならびにこの処理方法を用いてなる導電基材および半導体パッケージ

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018093225A (ja) * 2015-05-01 2018-06-14 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化チタンハードマスク及びエッチ残留物除去
JP2019075546A (ja) * 2017-08-25 2019-05-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体デバイスの製造中に窒化チタンに対して窒化タンタルを選択的に除去するためのエッチング液

Also Published As

Publication number Publication date
CN105874562B (zh) 2019-05-14
TWI650415B (zh) 2019-02-11
WO2015053800A2 (en) 2015-04-16
CN105874562A (zh) 2016-08-17
CN105612599B (zh) 2019-05-14
JP6523269B2 (ja) 2019-05-29
CN105612599A (zh) 2016-05-25
KR20160068902A (ko) 2016-06-15
CN105874568A (zh) 2016-08-17
US20160240368A1 (en) 2016-08-18
US20150104952A1 (en) 2015-04-16
TWI650414B (zh) 2019-02-11
KR102327432B1 (ko) 2021-11-17
US20160254182A1 (en) 2016-09-01
US10005991B2 (en) 2018-06-26
KR102334603B1 (ko) 2021-12-06
WO2015053800A3 (en) 2015-06-18
TW201527518A (zh) 2015-07-16
JP2017502491A (ja) 2017-01-19
JP2016536785A (ja) 2016-11-24
TW201522574A (zh) 2015-06-16
KR20160068903A (ko) 2016-06-15
KR20170076616A (ko) 2017-07-04
US10155921B2 (en) 2018-12-18
TW201527519A (zh) 2015-07-16
US20160312162A1 (en) 2016-10-27

Similar Documents

Publication Publication Date Title
JP6523269B2 (ja) ハードマスクを選択的に除去するための除去組成物
TWI651396B (zh) 選擇性蝕刻氮化鈦之組成物及方法
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
TWI525701B (zh) 自具有銅、金屬硬遮罩及低k介電材料之基板移除光阻、蝕刻殘留物及氧化銅之方法及組合物
US9972485B2 (en) Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US10790187B2 (en) Post-etch residue removal for advanced node beol processing
WO2015054460A1 (en) Removal composition for selectively removing hard mask
KR20220016913A (ko) 에칭 조성물
CN113430060B (zh) 用于移除硬遮罩的钨相容性清洗液、其制备方法及应用

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20160824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170929

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170929

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180814

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190723

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191016

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200324