US20150104952A1 - Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper - Google Patents

Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper Download PDF

Info

Publication number
US20150104952A1
US20150104952A1 US14/103,303 US201314103303A US2015104952A1 US 20150104952 A1 US20150104952 A1 US 20150104952A1 US 201314103303 A US201314103303 A US 201314103303A US 2015104952 A1 US2015104952 A1 US 2015104952A1
Authority
US
United States
Prior art keywords
ammonium
acid
tin
removal composition
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/103,303
Other languages
English (en)
Inventor
Hua Cui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Priority to US14/103,303 priority Critical patent/US20150104952A1/en
Assigned to EKC TECHNOLOGY, INC. reassignment EKC TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CUI, HUA
Assigned to EKC TECHNOLOGY INC. reassignment EKC TECHNOLOGY INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CUI, HUA
Priority to JP2016522060A priority patent/JP6523269B2/ja
Priority to US15/028,573 priority patent/US10005991B2/en
Priority to KR1020167012242A priority patent/KR102327432B1/ko
Priority to KR1020167012243A priority patent/KR102334603B1/ko
Priority to JP2016521931A priority patent/JP2016535819A/ja
Priority to CN201480055153.4A priority patent/CN105874562B/zh
Priority to US15/028,501 priority patent/US10155921B2/en
Priority to PCT/US2014/059848 priority patent/WO2015054464A1/en
Priority to CN201480055151.5A priority patent/CN105612599B/zh
Priority to PCT/US2014/059840 priority patent/WO2015054460A1/en
Priority to TW103135159A priority patent/TWI650415B/zh
Priority to TW103135158A priority patent/TWI650414B/zh
Publication of US20150104952A1 publication Critical patent/US20150104952A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/28Acidic compositions for etching iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/34Alkaline compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/38Alkaline compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • C23F11/14Nitrogen-containing compounds
    • C23F11/149Heterocyclic compounds containing nitrogen as hetero atom
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • compositions and methods for selectively removing metal hardmask and other residues from integrated circuit (IC) device substrates relate to compositions and methods for selectively removing metal hardmask and other residues from integrated circuit (IC) device substrates, and, more particularly, to compositions and methods useful for selectively removing TiN, TaN, TiNxOy, TiW, and W metal hardmask, and metal hardmasks comprising alloys of the foregoing, as well as other residues from such substrates comprising low-k dielectric materials, TEOS, copper, cobalt and other low-k dielectric materials, using carboxylate compounds.
  • IC integrated circuit
  • Plasma dry etching is commonly used to fabricate vertical sidewall trenches and anisotropic interconnecting vias in copper (Cu)/low-k dual damascene fabrication processes.
  • Cu copper
  • Low-k dual damascene fabrication processes As the technology nodes advance to 45 nm and smaller, the decreasing size of the semiconductor devices makes achieving critical profile control of vias and trenches more challenging.
  • Integrated circuit device companies are investigating the use of a variety of metal hardmasks to improve etch selectivity to low-k materials and thereby gain better profile control.
  • the cleaning solution can also effectively etch the metal hardmask to form an intermediate morphology, e.g., a pulled-back/rounded morphology, or completely remove the metal hardmask.
  • a pulled-back/rounded morphology could prevent undercutting the hardmask, which, in turn, could enable reliable deposition of barrier metal, Cu seed layer and Cu filling.
  • fully removing the metal hardmask using the same composition could offer numerous benefits to downstream process steps, particularly chemical mechanical polishing (CMP), by eliminating a need for barrier CMP.
  • cleaning processes are required to remove residues of the plasma etch, photoresist, oxidizer, abrasive, metal and/or other liquids or particles that remain and which can contaminate the surface of the device if they are not effectively removed.
  • Fabrication of advanced generation devices that require copper conductors and low-k dielectric materials typically carbon-doped silicon oxide (SiOCH), or porous low-k materials) give rise to the problem that both materials can react with and be damaged by various classes of prior art cleaners.
  • Low-k dielectrics in particular, may be damaged in the cleaning process as evidenced by etching, changes in porosity/size, and ultimately changes in dielectric properties. Time required to remove residues depends on the nature of the residue, the process (heating, crosslinking, etching, baking, and/or ashing) by which it was created, and whether batch or single wafer cleaning processes can be used. Some residues may be cleaned in a very short period of time, while some residues require much longer cleaning procedures. Compatibility with both the low-k dielectric and with the copper conductor over the duration of contact with the cleaner is a desired characteristic.
  • TiN, TaN, TiNxOy, TiW, and/or W are used as an etching hard mask in the formation of vias and trenches to gain high selectivity to low-k dielectric materials during dry etching steps.
  • Effective cleaning compositions are required that can selectively remove the TiN, TaN, TiNxOy, TiW or W, be compatible with low-k materials, copper, cobalt and other dielectric materials, and also simultaneously remove unwanted etching residues and Cu oxide from the resulting dual damascene structure. Beyond selective cleaning, it is also highly desirable that the achievable removal rate ( ⁇ /min) for the cleaning composition be maintained substantially constant for an extended period of time.
  • the presently disclosed and claimed inventive concept(s) relate to an improved semiconductor processing composition, i.e., a wet cleaning chemistry or removal composition, with one or more carboxylate compounds which provides highly selective removal of metal hardmask from a dual damascene structure without damaging wiring metallurgy and dielectric materials.
  • Semiconductor substrates of the type fabricated in dual damascene back end metallization consist of multiple layers or levels of metal interconnects that are isolated by interlayer dielectrics.
  • the described composition can remove metal hardmask etch residues, photoresist, polymeric materials, and copper oxide from via and trench surfaces without damaging underlying layers that form the structure.
  • the substrates typically comprise copper, cobalt, a low-k dielectric material(s), SiON, SiCN, TEOS and metal hard mask selected from TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W.
  • the removal composition comprises from 0.1 wt % to 90 wt % at least one oxidizing agent, from 0.0001 wt % to 50 wt % of a carboxylate compound, with the balance up to 100 wt % of the removal composition comprising water, e.g., deionized water.
  • ammonium carboxylates are ammonium carboxylates.
  • ammonium carboxylates are ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate, and mixtures thereof.
  • At least one corrosion inhibitor may also be present in the composition, for example, where the composition is to be deployed in semiconductor processing at BEOL applications and other applications where corrosion of Cu or other metal components is a concern.
  • the composition may also include a base, for example, selected from the group consisting of quaternary ammonium salts, such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH) and benzyltrimethylammonium hydroxide (BTAH), and mixtures thereof.
  • TMAH tetramethylammonium hydroxide
  • TEAH tetraethylammonium hydroxide
  • BTAH benzyltrimethylammonium hydroxide
  • the base can also be selected from a primary, secondary or tertiary amine, such as, for example, monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA); and tetrabutyphosphonium hydroxide (TBPH) and mixtures thereof.
  • MEA monoethanol amine
  • DGA diglycol amine
  • TEA triethanolamine
  • TBPH tetrabutyphosphonium hydrox
  • the composition may include one or more acids, for example, an inorganic acid, such as sulfuric acid, nitric acid, phosphoric acid, hydrofluoric acid (HF), or hydrobromic acid, or an organic acid, such as a carboxylic acid, hydroxy carboxylic acid, polycarboxylic acid, amino acid, or a mixture of such acids, as appropriate to adjust the pH of the working composition to a value of from 2 to 14, but preferably in the range of from 3 to 13.
  • the pH of the removal composition is preferably in the range of from 7 to 12.
  • the composition may also include from 0.001 wt % to 20 wt % of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof, which, along with the carboxylate compound, has been observed to stabilize the composition.
  • stabilize is used herein to mean that the achievable etch rate for a hard mask (e.g., a removal rate for TiN of 148 ⁇ /min) remains substantially constant over an extended period of time, e.g., a time period of from twenty two (22) hours and up to thirty five (35) hours or longer at the selected operating temperature, for example, at an operating temperature of 50° C.
  • Oxidizing agents suitable for carrying out the inventive concepts can be selected from the group consisting of hydrogen peroxide (H 2 O 2 ), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, n-methylmorpholine oxide (NMMO, NMO), ferric chloride, permanganate, peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, percarbonate, perborate, and mixtures thereof. Best results have been observed when the oxidizing agent is hydrogen peroxide (H 2 O 2 ).
  • the invention comprises a method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW or W, including alloys of Ti or W, relative to underlying low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate having a TiN, TaN, TiNxOy, TiW or W, etching mask thereon, including an etching mask comprising alloys of Ti or W, wherein the method comprises contacting the substrate with a removal composition comprising:
  • ammonium carboxylate selected from the group comprising one or more of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium Benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, and ammonium 1-H-pyrazole-3-carboxylate; and
  • the invention comprises a method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW or W, including alloys of Ti and/or W, relative to underlying low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate having a TiN, TaN, TiNxOy, TiW or W, etching mask thereon, including an etching mask comprising alloys of Ti and/or W, wherein the method comprises contacting the substrate with a removal composition comprising:
  • ammonium carboxylate selected from the group comprising one or more of ammonium oxalate, ammonium lactate, ammonium tartrate, ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium Benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, and ammonium 1-H-pyrazole-3-carboxylate;
  • the described and claimed inventive concept(s) embraces an improvement to a composition and method for selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW or W, including alloys of Ti or W, relative to underlying low-k, Cu, Co, SiON, SICN, and TEOS materials from a semiconductor substrate having a TiN, TaN, TiNxOy, TiW or W, etching mask thereon, including an etching mask comprising alloys of Ti or W, wherein the improvement comprises incorporating into said removal composition from 0.0001 wt % to 50 wt % of an ammonium carboxylate selected from the group comprising ammonium oxalate, ammonium lactate, ammonium tartrate.
  • ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate whereby said removal composition selectively removes said TiN, TaN, TiNxOy, TiW, W, or alloy of Ti or W etching mask relative to said low-k materials.
  • the amount and type of undesirable residue to be removed in any given processing step will influence the selection of operating pH for the composition.
  • compositions and method according to the inventive concepts described herein are uniquely capable of selectively etching TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, are compatible with Cu, Co, low-k and TEOS dielectric materials, and can also simultaneously remove copper oxides, polymeric materials and etch residues from the substrate, i.e., the dual damascene structure, being treated.
  • a composition formulated according to the invention and exhibiting an inherently high etch rate for TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, enables processing at relatively low temperature, e.g., temperatures less than 65° C.
  • a relatively low temperature process exhibits a reduced oxidizer decomposition rate, which, in turn, extends the useful composition bath life and pot life.
  • compositions according to the invention which exhibit high and selective etch rates for TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W are desirable because they can reduce device processing time and thereby increase throughput.
  • compositions according to the invention can effectively deliver high etch rates for TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, with single wafer tool applications at a temperature range of from 20° C. to 60° C., and the TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and W, metal hardmask can be fully removed with single wafer application process equipment if so desired.
  • FIGS. 1A and 1B are cross-sectional SEM images of semiconductor wafer segments which show trenches and vias, respectively, during dual damascene device fabrication, but prior to contact with the removal composition of the invention.
  • FIGS. 2A and 2B are cross-sectional SEM images of semiconductor wafer segments of the type shown in FIGS. 1A and 1B after contact with removal composition 1 from Table 1 at 50° C. for 90 sec.
  • FIGS. 3A and 3B are cross-sectional SEM images of semiconductor wafer segments of the type shown in FIGS. 1A and 1B after contact with removal composition 2 from Table 1 at 50° C. for 90 sec.
  • FIGS. 4A and 4B are cross-sectional SEM images of semiconductor wafer segments of the type shown in FIGS. 1A and 1B after contact with removal composition 3 from Table 1 at 53° C. for 90 sec.
  • any composition is expressed as the amount of various components which, when added together, form the composition. Unless specifically stated otherwise, any composition given in percent is percent by weight (wt %) of that component that has been added to the composition.
  • wt % percent by weight
  • the dual damascene process is used to form metal interconnects in the backend metallization, which are then used to electrically interconnect various electrical components in a semiconductor substrate into functional circuits.
  • backend metallization which comprises fabrication of multiple levels, or layers, of metal interconnects isolated by an interlayer dielectric layer(s) and/or barrier layer(s) can be found, for example, in U.S. Pat. No. 8,080,475, the teachings of which are incorporated herein in their entirety by reference.
  • the integration of new materials, such as ultra low-k dielectrics, into microelectronic devices places new demands on cleaning performance. Concurrently, shinking device dimensions reduces the tolerances for changes in critical dimensions for vias and trenches.
  • the present invention is a semiconductor processing composition
  • a semiconductor processing composition comprising water, at least one oxidizing agent, optionally at least one base or acid, depending on the desired pH for the working composition, and from 0.0001 wt % up to 50 wt % of an ammonium carboxylate.
  • the ammonium carboxylate can be selected from the group comprising ammonium oxalate, ammonium lactate, ammonium tartrate.
  • ammonium citrate tribasic, ammonium acetate, ammonium carbamate, ammonium carbonate, ammonium benzoate, tetraammonium EDTA, ethylenediaminetetraacetic acid diammonium salt, ammonium succinate, ammonium formate, ammonium 1-H-pyrazole-3-carboxylate and mixtures thereof.
  • the concentration of ammonium carboxylate is from 0.001 wt % up to 50 wt %.
  • at least one corrosion inhibitor may also be present in the composition where the composition is to be deployed in BEOL semiconductor processing applications and other applications where corrosion of metal components, e.g., Cu and Cu-alloy components, is a concern.
  • the formulations preferably have a pH of from 3 to 13.
  • compositions of the invention are effective in selectively removing an etching mask consisting essentially of TiN, TaN, TiNxOy, TiW or W, including alloys of Ti and/or W, relative to low-k materials from a semiconductor substrate comprising said low-k dielectric material and having a TiN, TaN, TiNxOy, TiW and W, including alloys of Ti and/or W, etching mask thereon.
  • the composition is also functional in simultaneously removing photoresist, polymeric materials, etching residues and copper oxide from the substrate.
  • compositions of the invention may also include from 0.001 wt % to 20 wt % of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof, preferably from 0.001 wt % to 10 wt %, and more preferably from 0.001 wt % to 5 wt %.
  • the presence of an amino acid, amine polycarboxylic acid (i.e., aminopolycarboxylic acid), and/or carboxylic acid, polycarboxylic acid chelating agent, or a mixture thereof, according to the described and claimed inventive concepts has been observed to stabilize the composition.
  • chelating agents include, but are not limited to, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid; ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane-N,N,N′,N′-tetraacetic acid; N- ⁇ 2-[bis(carboxymethyl)amino]ethyl ⁇ -N-(2-hydroxyethyl)glycine (HEDTA); and ethylenediamine-N,N′-
  • compositions and method according to the inventive concepts described herein are particularly applicable for processing single wafers in single wafer equipment.
  • a common approach is to process wafers a high process temperatures.
  • higher temperatures are known to contribute to degradation of the oxidizing agent which shortens bath life and pot life. It has been observed according to the inventive concepts described herein that satisfactory results can be achieved at substantially lower temperatures in the range of from 20° C. to 60° C. to generate a pullback scheme or to completely remove the metal hardmask when the hardmask comprises TiN.
  • the composition can contain one or more cosolvents that are miscible with water. These cosolvents enhance residue removal. Suitable cosolvents include, but are not limited to, sulfolane, N-methylpyrrolidone, and dimethylsulfoxide.
  • Oxidizing agents useful according to the inventive concept(s) are selected from any substance which has the capability to chemically react with the metal hardmask and effect its removal.
  • Such oxidizing agents include, but are not limited to, the group consisting essentially of hydrogen peroxide (H 2 O 2 ), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO 3 ), ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate
  • the oxidizing agent or mixture thereof may be present in the composition at from about 0.1 wt % to about 90 wt %, preferably at from about 5 wt % to 90 wt %, and, for best results, preferably 10 wt % to 90 wt %.
  • the composition may also include a base or an acid, as appropriate, to adjust the pH of the working composition.
  • the base can, for example, be selected from quaternary ammonium salts, such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), benzyltrimethylammonium hydroxide (BTAH) and mixtures thereof.
  • the base can also be selected from primary, secondary and tertiary amines, such as, for example, monoethanol amine (MEA), diglycol amine (DGA), triethanolamine (TEA), tetrabutyphosphonium hydroxide (TBPH), and mixtures thereof.
  • the base can be a combination of quaternary ammonium salts and amines.
  • Suitable acids include, for example, inorganic acids, such as sulfuric acid, nitric acid, phosphoric acid, hydrofluoric acid (HF), or hydrobromic acid, or an organic acid, such as a carboxylic acid, an amino acid, a hydroxy carboxylic acid, a polycarboxylic acid, or a mixture of such acids.
  • the pH of the working composition should be maintained at a value of from 2 to 14, but preferably in the range of from 3 to 12. As noted above, when used in BEOL Cu interconnect fabrication applications, the preferred pH of the working composition is in the range of from 7 to 12 when hydrogen peroxide is used as oxidizer in order to achieve high TiN etch rates.
  • a Cu or Co corrosion inhibitor, or a mixture thereof, is an optional component in the composition of this invention.
  • a Cu or Co corrosion inhibitor(s) will usually be present in the inventive composition and associated process when used for BEOL applications, where the presence of a corrosion inhibitor is needed to protect metal surfaces from being etched or otherwise degraded.
  • a corrosion inhibitor(s) is not generally needed, i.e., Cu or Co, is not exposed to the cleaning chemistry, Cu or Co is absent from the wafer substrate, or slight etching/degradation of copper or cobalt surfaces is not usually a concern.
  • the metal (Cu or Co) corrosion inhibitor is an organic compound, such as an azole, thiol, and/or indole preferably selected from the group consisting of a heterocyclic compound containing at least one nitrogen atom, such as, for example, a pyrrole and derivatives thereof, pyrazole and derivatives thereof, imidazole and derivatives thereof, triazole and derivatives thereof, indazole and derivatives thereof, and thiol-triazole and derivatives thereof, benzotriazole (BTA), tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-amino-1,2,
  • BTA, pyrazole, or a mixture of BTA and pyrazole, or a mixture of BTA and tolyltriazole are preferred Cu corrosion inhibitors for better cleaning performance.
  • the Cu or Co corrosion inhibitor or mixture thereof may be present in the composition at from about 0.0001 wt % to about 50 wt %, and preferably, for best results, at from about 0.0001 wt % to about 20 wt %.
  • Cu or Co corrosion inhibitors include, but are not limited to aromatic hydrazides and Schiff base compounds.
  • the described and claimed inventive concept(s) reside in the discovery that complete removal of metal hard mask from semiconductor devices wherein said metal hardmask is in overlapping relationship with a low-k dielectric material can be accomplished by incorporating into the removal composition an effective amount of from 0.0001 wt % up to 50 wt % of a carboxylate compound, but particularly an ammonium carboxylate.
  • the concentration of ammonium carboxylate is from 0.001 wt % up to 10 wt %.
  • carboxylate is used herein to mean the general formula M(RCOO) n , where M is a metal and n is 1, 2, . . . is the number of carboxylate esters within the compound having the general formula RCOOR′, wherein R and R′ are organic groups with the proviso that R′ ⁇ H.
  • RCOOR′ organic groups with the proviso that R′ ⁇ H.
  • M is replaced with NH4 + .
  • Ammonium carboxylates are preferred chemicals for use in the removal formulation(s), and they can be added directly to the composition, or they can be generated as byproducts or intermediates by chemical reaction during processing.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.0001 wt %, based on the total weight of the composition in which such components are employed.
  • 100 g. samples of removal compositions were prepared according to the inventive concept(s) described herein. Each sample composition comprised each of the components listed in the various tables which follow at the weights shown in the corresponding formulation row.
  • a 100 g. quantity of sample composition designated “1” shown in Table 1 contained 2 g. of 10% aqueous ammonium tartrate, 7.21 g. of 10% aqueous DGA, 12.43 g. of 1.5% aqueous BTA, 60 g. H 2 O 2 (30% aqueous), and 18.36 g. deionized water (DIW).
  • the removal compositions can be formulated at the point of use, or they can be conveniently formulated beforehand without an oxidizer and then taken to the point of use where the oxidizer is added. There is also no particular sequence for mixing or blending the various ingredients.
  • Etch rate evaluations were carried out after 1 and 2 minutes of chemical treatment at 60° C. and 50° C., respectively, for TiN and 10 minutes for Cu, Co, W, and TEOS.
  • TiN, Cu, Co, and W thicknesses were measured using a Four Dimensions Four Point Probe Meter 333A, whereby the resistivity of the film was correlated to the thickness of the film remaining after contact with the composition of the invention.
  • the TEOS thickness was measured with Auto SE Spectroscopic Ellipsometer by HORIBA JOBIN YVON.
  • the etch rate was calculated as the thickness change (before and after chemical treatment) divided by the chemical treatment time.
  • Chemical solution pH was measured with a Beckman 260 pH/Temp/mV meter.
  • the H 2 O 2 used in the experiments was sourced from J. T. Baker. Residue removal efficiency and TiN hardmask etch were evaluated from SEM results (Hitachi S-5500).
  • compositions shown in Table 1 were prepared using deionized water as the solvent, BTA or a mixture of BTA and pyrazole as Cu corrosion inhibitor, H 2 O 2 as the oxidizing agent, and diglycolamine (DGA) or benzyltrimethylammonium hydroxide (BTAH) as the base to adjust pH.
  • DGA diglycolamine
  • BTAH benzyltrimethylammonium hydroxide
  • Compositions 1, 2 and 3 demonstrated a removal rate for TiN in the range of from 178 ⁇ /min up to 340 ⁇ /min at a relatively low temperature in the range of from 50° C. to 53° C.
  • a copper etch rate of 2.5 ⁇ /min or less is considered good for commercial wafer processing.
  • FIGS. 1A and 1B are SEM images of semiconductor wafer segments which show trenches and vias, respectively, as received following a dual damascene fabrication step, but before treatment with a removal composition.
  • FIGS. 2A and 2B are views of the wafer segments, similar to the wafer segments shown in FIGS. 1A and 1B , after contact with removal composition 1 for 90 sec. at a temperature of 50° C. Residue was removed, but some TiN hardmask remained as noted in FIG. 2A .
  • FIGS. 3A and 3B are views of wafer segments, similar to the wafer segments shown in FIGS. 1A and 1B , after contact with removal composition 2 for 90 sec. at a temperature of 50° C.
  • FIGS. 4 a and 4 B are views of wafer segments, similar to the wafer segments shown in FIGS. 1A and 1B , after contact with removal composition 3 for 90 sec. at a temperature of 53° C. TiN hardmask and residue have been completely removed.
  • compositions shown in Table 2 were prepared using deionized water as the solvent, BTA as Cu corrosion inhibitor, H 2 O 2 as the oxidizing agent, and tetramethylammonium hydroxide (TMAH) as the base to adjust pH.
  • TMAH tetramethylammonium hydroxide
  • Each of the removal compositions which contain, respectively, the compounds ammonium lactate, ammonium tartrate, ammonium carbonate, and ammonium citrate tribasic at the amounts indicated, demonstrated a higher TiN etch rate compared with the corresponding control, composition 4, that did not contain an ammonium carboxylate.
  • the formulations shown in Table 4 were prepared using DGA to adjust the pH, and BTA was used as the copper corrosion inhibitor.
  • TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 13, that did not contain an ammonium carboxylate.
  • the formulations shown in Table 5 were prepared using TMAH to adjust the pH, and BTA was used as the copper corrosion inhibitor.
  • TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 17, that did not contain an ammonium carboxylate.
  • the formulations shown in Table 6 were prepared using benzyltrimethylammonium hydroxide (BTAH) to adjust the pH, and BTA was used as the copper corrosion inhibitor.
  • BTAH benzyltrimethylammonium hydroxide
  • TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of about 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 21, that did not contain an ammonium carboxylate.
  • the formulations shown in Table 7 were prepared using tetraethylammonium hydroxide (TEAH) to adjust the pH, and BTA was used as the copper corrosion inhibitor.
  • TEAH tetraethylammonium hydroxide
  • TiN and Cu etch rate evaluations were carried out as described above at a temperature of 50° C. and pH of 8. The removal compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 25, that did not contain an ammonium carboxylate.
  • the formulations shown in Table 8 were prepared using DGA to adjust the pH, but no copper corrosion inhibitor was used.
  • TiN and TEOS removal rate evaluations were carried out as described above at a temperature of 50° C. and pH of about 8.
  • the removal compositions demonstrated a high TiN etch rate in the range of from a low of 144 ⁇ /min to a high of 179 ⁇ /min when compared to the control, composition 31, which had a TiN etch rate of 87 ⁇ /min.
  • the presence of the compounds ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate and ammonium tartrate at concentrations of from 1.46 wt % to less than 3 wt % operate to provide the removal compositions of the invention with the capability to deliver very high TiN etch rates at relatively low temperature, e.g., 50° C. It is noteworthy according to the described and claimed inventive concepts that none of the compounds ammonium carbonate, ammonium acetate, ammonium oxalate, ammonium lactate or ammonium tartrate had significant effect on TEOS removal rate when compared to the control, composition 31.
  • the formulations shown in Table 9 were prepared without the use of a pH adjustment agent.
  • the Cu corrosion inhibitor used was Wintrol A-90, a commercial mixture of BTA and tolyltriazole.
  • the desired TiN and Cu etch rates and pH were obtained by varying hydrogen peroxide and ammonium carboxylate concentrations. In these examples, several carboxylates in various concentrations were used. Hydrogen peroxide concentration was either 20 wt % or 80 wt %.
  • the formulation pH's ranged from a low of pH 5 up to pH 8.4, and the TiN etch rate, i.e., the removal rate, ranged from a low of 18 ⁇ /min up to 170 ⁇ /min.
  • the formulations shown in Table 10 were prepared with tartaric acid, or TMAH, or without any pH adjustment agent.
  • Wintrol A-90 was used as a Co corrosion inhibitor.
  • several carboxylates in various concentrations were used.
  • Hydrogen peroxide concentration ranged from 20 wt % to 80 wt %.
  • the formulation pH ranged from a low of pH 5 up to pH 11.
  • the Co etch rate was insignificant in all cases (i.e., the highest Co etch rate was 1.17 ⁇ /min).
  • compositions shown in Table 12 were prepared using TMAH to adjust the pH, and BTA was used as copper corrosion inhibitor.
  • Carboxylates used were potassium citrate tribasic monohydrate, potassium sodium tartrate tetrahydrate, and potassium L-lactate in compositions 56, 57 and 58, respectively. Each of these compositions demonstrated a higher TiN etch rate and a similar Cu etch rate when compared to the control, composition 55, that did not contain a carboxylate.
  • ammonium carboxylate at a concentration of 1.46 wt % to 3 wt % and at a pH ranging from about 4 to slightly higher than 11 was shown to significantly increase the W removal rate when compared to the corresponding ammonium carboxylate-free control compositions 66, 70 and 72 at the same pH.
  • compositions of the invention were observed to unexpectedly stabilize the compositions of the invention.
  • stabilize is used herein to mean that the achievable etch rate for a hard mask, i.e., the rate at which hardmask is removed, remains substantially constant over an extended period of time, e.g., a time period of from twenty two (22) hours up to at least thirty five (35) hours at the selected operating temperature.
  • chelating agents which are operable according to the described and claimed inventive concepts include, but are not limited to, 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA); ethylenediaminetetraacetic acid; nitrilotriacetic acid; diethylene triamine pentaacetic acid; 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid; ethylene glycol tetraacetic acid (EGTA); 1,2-bis(o-aminophenoxy)ethane-N,N,N′,N′-tetraacetic acid; N- ⁇ 2-[bis(carboxymethyl)amino]ethyl ⁇ -N-(2-hydroxyethyl)glycine
  • Pot life is a measure of the ability of the removal composition formula to perform optimally over time and without significant variation in functionality over time. Pot life is a strong function of temperature. After many hours of treatment at high temperature, the chemicals in the mixture can decompose and the formula will lose functionality.
  • the data presented in Table 17 demonstrates that with CDTA in removal compositions 74 and 75, the TiN etch rate remained stable, i.e., substantially constant, over a period of 22 hours.
  • the initial TiN etch rate was 157 ⁇ /min, and it remained at 156 ⁇ /min for composition 75 over a 22 hour period.
  • the initial TiN etch rate was 168 ⁇ /min and remained at 157 ⁇ /min over a 22 hour period.
  • the TiN etch rate declined from an initial etch rate of 219 ⁇ /min to an etch rate of 99 ⁇ /min after 22 hours.
  • the data presented in Table 19 demonstrate that with 0.001% and 0.005% of CDTA in removal compositions 77 and 78, respectively, the TiN etch rate remained stable, i.e., substantially constant, over a period of 24 hours.
  • the initial TiN etch rate was 27.33 ⁇ /min, and it remained at 24.41 ⁇ /min for composition 77 over a 24 hour period.
  • the initial TiN etch rate was 26.91 ⁇ /min and remained at 26.24 ⁇ /min over a 24 hour period.
  • Table 20 The formulations shown in Table 20 were prepared using DGA to adjust the pH, and BTA was used as copper corrosion inhibitor. Tetraammonium EDTA was used to stabilize the TiN etch rate.
  • Table 22 The formulations in Table 22 were prepared using DGA to adjust pH. BTA was used as copper corrosion inhibitor. The ammonium carboxylate selected was tetraammonium EDTA. The results shown in Table 22 indicate that tetraammonium EDTA in removal composition 81 exhibited a higher TiN etch rate when compared to the control, composition 82, which contained no ammonium carboxylate.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)
US14/103,303 2013-10-11 2013-12-11 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper Abandoned US20150104952A1 (en)

Priority Applications (13)

Application Number Priority Date Filing Date Title
US14/103,303 US20150104952A1 (en) 2013-10-11 2013-12-11 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
TW103135159A TWI650415B (zh) 2013-10-11 2014-10-09 用於選擇性清除硬遮罩之清除組成物及其方法
TW103135158A TWI650414B (zh) 2013-10-11 2014-10-09 用於選擇性清除硬遮罩之清除組成物及其方法
JP2016521931A JP2016535819A (ja) 2013-10-11 2014-10-09 ハードマスクを選択的に除去するための除去組成物及びその方法
PCT/US2014/059848 WO2015054464A1 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
KR1020167012242A KR102327432B1 (ko) 2013-10-11 2014-10-09 경질 마스크를 선별적으로 제거하기 위한 제거 조성물
KR1020167012243A KR102334603B1 (ko) 2013-10-11 2014-10-09 경질 마스크를 선별적으로 제거하기 위한 제거 조성물 및 이의 방법
JP2016522060A JP6523269B2 (ja) 2013-10-11 2014-10-09 ハードマスクを選択的に除去するための除去組成物
CN201480055153.4A CN105874562B (zh) 2013-10-11 2014-10-09 用于选择性移除硬遮罩的移除组合物及其方法
US15/028,501 US10155921B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
US15/028,573 US10005991B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
CN201480055151.5A CN105612599B (zh) 2013-10-11 2014-10-09 用于选择性移除硬遮罩的移除组合物
PCT/US2014/059840 WO2015054460A1 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361889968P 2013-10-11 2013-10-11
US14/103,303 US20150104952A1 (en) 2013-10-11 2013-12-11 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/028,573 Continuation US10005991B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
PCT/US2013/074356 Continuation WO2015053800A2 (en) 2013-10-11 2014-11-14 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper

Publications (1)

Publication Number Publication Date
US20150104952A1 true US20150104952A1 (en) 2015-04-16

Family

ID=52810036

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/103,303 Abandoned US20150104952A1 (en) 2013-10-11 2013-12-11 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
US15/028,501 Active US10155921B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
US15/028,573 Active US10005991B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
US15/028,491 Abandoned US20160240368A1 (en) 2013-10-11 2014-11-14 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper

Family Applications After (3)

Application Number Title Priority Date Filing Date
US15/028,501 Active US10155921B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
US15/028,573 Active US10005991B2 (en) 2013-10-11 2014-10-09 Removal composition for selectively removing hard mask and methods thereof
US15/028,491 Abandoned US20160240368A1 (en) 2013-10-11 2014-11-14 Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper

Country Status (6)

Country Link
US (4) US20150104952A1 (ja)
JP (3) JP6523269B2 (ja)
KR (3) KR102327432B1 (ja)
CN (3) CN105874562B (ja)
TW (3) TW201522574A (ja)
WO (1) WO2015053800A2 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170158913A1 (en) * 2014-07-15 2017-06-08 Basf Se Chemical mechanical polishing (cmp) composition
JP2017108104A (ja) * 2015-12-11 2017-06-15 東友ファインケム株式会社Dongwoo Fine−Chem Co., Ltd. タングステン膜エッチング液組成物、これを用いた電子デバイスの製造方法および電子デバイス
US20170229308A1 (en) * 2014-10-31 2017-08-10 Fujifilm Corporation Mram dry etching residue removal composition, method of producing magnetoresistive random access memory, and cobalt removal composition
EP3351658A1 (en) * 2017-01-23 2018-07-25 Versum Materials US, LLC Etching solution for tungsten and gst films
US20190127858A1 (en) * 2016-03-29 2019-05-02 Technic France Solution and method for etching titanium based materials
CN110462799A (zh) * 2017-03-31 2019-11-15 关东化学株式会社 钛层或含钛层的蚀刻液组合物及蚀刻方法
EP3599634A1 (en) * 2018-07-26 2020-01-29 Versum Materials US, LLC Composition for titanium nitride hard mask removal and etch residue cleaning
CN110911278A (zh) * 2018-09-18 2020-03-24 三星电子株式会社 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
WO2020251800A1 (en) * 2019-06-13 2020-12-17 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
CN112323136A (zh) * 2020-10-26 2021-02-05 深圳市裕展精密科技有限公司 退镀液以及退镀方法
CN113430072A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 移除硬遮罩的钴兼容性半水基清洗液、其制备方法及应用
US11268024B2 (en) 2019-05-01 2022-03-08 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11345852B2 (en) 2018-08-16 2022-05-31 Lam Research Corporation Etchant composition
US11390805B2 (en) * 2020-02-05 2022-07-19 Samsung Electronics Co., Ltd. Etching composition and method for manufacturing semiconductor device using the same
CN115141629A (zh) * 2022-06-15 2022-10-04 湖北兴福电子材料有限公司 TiN去除液
US11499099B2 (en) * 2019-09-10 2022-11-15 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
WO2023232322A1 (de) * 2022-06-02 2023-12-07 Betek Gmbh & Co. Kg Entschichtungslösung, verfahren und vorrichtung zum nasschemischen entfernen einer pvd- oder cvd-titannitrid-schicht von einem hartmetall-trägerelement

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3099839A4 (en) * 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
JP6626748B2 (ja) * 2016-03-09 2019-12-25 株式会社Adeka タンタル含有層用エッチング液組成物及びエッチング方法
US10577571B2 (en) * 2016-11-08 2020-03-03 Ecolab Usa Inc. Non-aqueous cleaner for vegetable oil soils
CN107148156B (zh) * 2017-05-08 2019-06-28 广东光华科技股份有限公司 3,6-二氧杂-1,8-辛二胺四乙酸衍生物的应用及osp处理液
CN107357143B (zh) 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
KR20230023820A (ko) 2017-12-18 2023-02-17 엔테그리스, 아이엔씨. 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
TW202106859A (zh) * 2019-06-03 2021-02-16 美商富士軟片電子材料美國股份有限公司 蝕刻組成物
CN110459468A (zh) * 2019-08-29 2019-11-15 上海华力集成电路制造有限公司 TiN薄膜的刻蚀方法
EP3825441A1 (en) * 2019-11-21 2021-05-26 COVENTYA S.p.A. An electrolytic treatment device for preparing plastic parts to be metallized and a method for etching plastic parts
CN113430066B (zh) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 用于选择性移除硬遮罩的清洗组合物、其制备方法及应用
CN113430063B (zh) * 2020-03-23 2024-02-23 上海新阳半导体材料股份有限公司 用于选择性移除硬遮罩的清洗液、其制备方法及应用
CN113430060B (zh) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 用于移除硬遮罩的钨相容性清洗液、其制备方法及应用
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
CN113161234B (zh) * 2021-04-27 2023-02-17 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的应用
CN113150884B (zh) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
JP2022184639A (ja) * 2021-06-01 2022-12-13 上村工業株式会社 銅エッチング液
US11550229B1 (en) 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices
TW202407150A (zh) 2022-05-10 2024-02-16 日商東京應化工業股份有限公司 蝕刻液
CN115725369B (zh) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 一种清洗液组合物的应用

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8701184A (nl) * 1987-05-18 1988-12-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
JPH10209604A (ja) * 1997-01-17 1998-08-07 Hitachi Ltd プリント配線基板の製造方法並びにそれに用いる粗化液及び粗化液の調製方法
CN1169196C (zh) 1997-04-03 2004-09-29 日本电气株式会社 制造半导体器件的方法
JP3039493B2 (ja) 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US7579308B2 (en) * 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US6358788B1 (en) 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US7543592B2 (en) * 2001-12-04 2009-06-09 Ekc Technology, Inc. Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP2003339509A (ja) 2002-05-28 2003-12-02 Koji Okuda 縦型ハンガー掛け具
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
WO2005019939A1 (en) 2003-08-19 2005-03-03 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
CN1875325B (zh) * 2003-10-29 2011-01-26 马林克罗特贝克公司 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
JP4474914B2 (ja) * 2003-12-17 2010-06-09 東ソー株式会社 レジスト残渣剥離用組成物及びそれを用いた洗浄方法
MY139624A (en) 2004-03-01 2009-10-30 Avantor Performance Mat Inc Stripping and cleaning compositions for microelectronics
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP4577095B2 (ja) * 2005-06-03 2010-11-10 東ソー株式会社 金属チタンのエッチング用組成物及びそれを用いたエッチング方法
JP2009512194A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
JP2009515055A (ja) * 2005-11-09 2009-04-09 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 低k誘電体材料をその上に有する半導体ウェハをリサイクルするための組成物および方法
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN105543023A (zh) * 2007-08-22 2016-05-04 大金工业株式会社 残渣除去液、残渣除去方法和半导体设备的制造方法
EP2219882A4 (en) * 2007-11-16 2011-11-23 Ekc Technology Inc COMPOSITIONS FOR REMOVING METAL HARD MASK REST OF A SEMICONDUCTOR SUBSTRATE
WO2009081884A1 (ja) * 2007-12-21 2009-07-02 Wako Pure Chemical Industries, Ltd. エッチング剤、エッチング方法及びエッチング剤調製液
JP5813280B2 (ja) * 2008-03-19 2015-11-17 富士フイルム株式会社 半導体デバイス用洗浄液、および洗浄方法
US7825079B2 (en) * 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
WO2010029867A1 (ja) * 2008-09-09 2010-03-18 昭和電工株式会社 チタン系金属、タングステン系金属、チタンタングステン系金属またはそれらの窒化物のエッチング液
US8080475B2 (en) 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
KR101270560B1 (ko) 2010-11-12 2013-06-03 오씨아이 주식회사 금속막 식각용 조성물
KR20120066950A (ko) * 2010-12-15 2012-06-25 삼성전자주식회사 식각액, 이를 이용한 표시 장치 및 그 제조 방법
US9257270B2 (en) 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
EP2798669B1 (en) * 2011-12-28 2021-03-31 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9070625B2 (en) 2012-01-04 2015-06-30 International Business Machines Corporation Selective etch chemistry for gate electrode materials
US8835326B2 (en) 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal
JP5692108B2 (ja) * 2012-02-03 2015-04-01 日立化成株式会社 半導体実装用導電基材の表面処理方法、ならびにこの処理方法を用いてなる導電基材および半導体パッケージ
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170158913A1 (en) * 2014-07-15 2017-06-08 Basf Se Chemical mechanical polishing (cmp) composition
US10570316B2 (en) * 2014-07-15 2020-02-25 Basf Se Chemical mechanical polishing (CMP) composition
US20170229308A1 (en) * 2014-10-31 2017-08-10 Fujifilm Corporation Mram dry etching residue removal composition, method of producing magnetoresistive random access memory, and cobalt removal composition
US10049883B2 (en) * 2014-10-31 2018-08-14 Fujifilm Corporation MRAM dry etching residue removal composition, method of producing magnetoresistive random access memory, and cobalt removal composition
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
JP2017108104A (ja) * 2015-12-11 2017-06-15 東友ファインケム株式会社Dongwoo Fine−Chem Co., Ltd. タングステン膜エッチング液組成物、これを用いた電子デバイスの製造方法および電子デバイス
US20170167032A1 (en) * 2015-12-11 2017-06-15 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
CN106868511A (zh) * 2015-12-11 2017-06-20 东友精细化工有限公司 用于钨层的蚀刻溶液组合物、用其制作电子器件的方法及电子器件
US10865484B2 (en) * 2016-03-29 2020-12-15 Technic France Solution and method for etching titanium based materials
US20190127858A1 (en) * 2016-03-29 2019-05-02 Technic France Solution and method for etching titanium based materials
US20180209049A1 (en) * 2017-01-23 2018-07-26 Versum Materials Us, Llc Etching Solution For Tungsten And GST Films
EP3351658A1 (en) * 2017-01-23 2018-07-25 Versum Materials US, LLC Etching solution for tungsten and gst films
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
CN110462799A (zh) * 2017-03-31 2019-11-15 关东化学株式会社 钛层或含钛层的蚀刻液组合物及蚀刻方法
EP3599634A1 (en) * 2018-07-26 2020-01-29 Versum Materials US, LLC Composition for titanium nitride hard mask removal and etch residue cleaning
KR20200013225A (ko) * 2018-07-26 2020-02-06 버슘머트리얼즈 유에스, 엘엘씨 TiN 하드 마스크 제거 및 에칭 잔류물 세정용 조성물
KR102285003B1 (ko) * 2018-07-26 2021-08-05 버슘머트리얼즈 유에스, 엘엘씨 TiN 하드 마스크 제거 및 에칭 잔류물 세정용 조성물
IL268256B1 (en) * 2018-07-26 2023-10-01 Versum Mat Us Llc A preparation for removing a hard titanium nitride mask and cleaning burn residue
US11017995B2 (en) 2018-07-26 2021-05-25 Versum Materials Us, Llc Composition for TiN hard mask removal and etch residue cleaning
US11345852B2 (en) 2018-08-16 2022-05-31 Lam Research Corporation Etchant composition
CN110911278A (zh) * 2018-09-18 2020-03-24 三星电子株式会社 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
US11795550B2 (en) 2018-09-18 2023-10-24 Samsung Electronics Co., Ltd. Etching composition, a method of etching a metal barrier layer and a metal layer using the same, and method of manufacturing semiconductor device using the same
US11268024B2 (en) 2019-05-01 2022-03-08 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11268025B2 (en) 2019-06-13 2022-03-08 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
WO2020251800A1 (en) * 2019-06-13 2020-12-17 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11499099B2 (en) * 2019-09-10 2022-11-15 Fujifilm Electronic Materials U.S.A., Inc. Etching composition
US11390805B2 (en) * 2020-02-05 2022-07-19 Samsung Electronics Co., Ltd. Etching composition and method for manufacturing semiconductor device using the same
CN113430072A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 移除硬遮罩的钴兼容性半水基清洗液、其制备方法及应用
CN112323136A (zh) * 2020-10-26 2021-02-05 深圳市裕展精密科技有限公司 退镀液以及退镀方法
WO2023232322A1 (de) * 2022-06-02 2023-12-07 Betek Gmbh & Co. Kg Entschichtungslösung, verfahren und vorrichtung zum nasschemischen entfernen einer pvd- oder cvd-titannitrid-schicht von einem hartmetall-trägerelement
CN115141629A (zh) * 2022-06-15 2022-10-04 湖北兴福电子材料有限公司 TiN去除液

Also Published As

Publication number Publication date
KR20160068903A (ko) 2016-06-15
WO2015053800A3 (en) 2015-06-18
TW201527519A (zh) 2015-07-16
JP2016535819A (ja) 2016-11-17
CN105874562A (zh) 2016-08-17
KR20170076616A (ko) 2017-07-04
WO2015053800A2 (en) 2015-04-16
US10155921B2 (en) 2018-12-18
US20160254182A1 (en) 2016-09-01
KR102327432B1 (ko) 2021-11-17
TWI650415B (zh) 2019-02-11
KR20160068902A (ko) 2016-06-15
CN105612599B (zh) 2019-05-14
TW201527518A (zh) 2015-07-16
JP2016536785A (ja) 2016-11-24
KR102334603B1 (ko) 2021-12-06
JP6523269B2 (ja) 2019-05-29
US10005991B2 (en) 2018-06-26
TWI650414B (zh) 2019-02-11
TW201522574A (zh) 2015-06-16
US20160240368A1 (en) 2016-08-18
JP2017502491A (ja) 2017-01-19
CN105612599A (zh) 2016-05-25
US20160312162A1 (en) 2016-10-27
CN105874562B (zh) 2019-05-14
CN105874568A (zh) 2016-08-17

Similar Documents

Publication Publication Date Title
US10155921B2 (en) Removal composition for selectively removing hard mask and methods thereof
US9972485B2 (en) Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US20130045908A1 (en) Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP3004287B1 (en) Compositions and methods for selectively etching titanium nitride
US10790187B2 (en) Post-etch residue removal for advanced node beol processing
WO2015054460A1 (en) Removal composition for selectively removing hard mask
CN110997643B (zh) 清洁组合物
KR20220016913A (ko) 에칭 조성물
CN109642159B (zh) 非水性钨相容性金属氮化物选择性蚀刻剂和清洁剂
CN113430060B (zh) 用于移除硬遮罩的钨相容性清洗液、其制备方法及应用

Legal Events

Date Code Title Description
AS Assignment

Owner name: EKC TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CUI, HUA;REEL/FRAME:031774/0196

Effective date: 20131023

AS Assignment

Owner name: EKC TECHNOLOGY INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CUI, HUA;REEL/FRAME:032386/0591

Effective date: 20140225

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION