JP2016035103A5 - - Google Patents

Download PDF

Info

Publication number
JP2016035103A5
JP2016035103A5 JP2015148200A JP2015148200A JP2016035103A5 JP 2016035103 A5 JP2016035103 A5 JP 2016035103A5 JP 2015148200 A JP2015148200 A JP 2015148200A JP 2015148200 A JP2015148200 A JP 2015148200A JP 2016035103 A5 JP2016035103 A5 JP 2016035103A5
Authority
JP
Japan
Prior art keywords
gas
valve
manifold
stage
post
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015148200A
Other languages
English (en)
Other versions
JP2016035103A (ja
JP6868951B2 (ja
Filing date
Publication date
Priority claimed from US14/798,652 external-priority patent/US9970108B2/en
Application filed filed Critical
Publication of JP2016035103A publication Critical patent/JP2016035103A/ja
Publication of JP2016035103A5 publication Critical patent/JP2016035103A5/ja
Application granted granted Critical
Publication of JP6868951B2 publication Critical patent/JP6868951B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

別の特徴において、第10のバルブが、第2のガスマニホルドおよび第6のバルブの流入口と流体連通する流入口ならびにバキューム流体連通する流出口を有する。
1または複数のガス供給システム20が提供されてよい。例えば、ガス供給システム20は、1または複数のガス源22−、22−2、・・・、および、22−N(集合的に、ガス源22)を備えてよく、ここで、Nはゼロより大きい整数である。バルブ24−1、24−2、・・・、および、24−N(集合的に、バルブ24)、マスフローコントローラ(MFC)26−1、26−2、および、26−N(集合的に、MFC26)、または、その他の流量制御装置が、前駆体、反応ガス、不活性ガス、パージガス、および、それらの混合物を、処理チャンバ12にガス混合物を供給するマニホルド30に、制御可能に供給するために用いられてよい。
一部の例では、マニホルド171が、バルブV44によってバルブV89およびV165Bの間のノード173に選択的に接続される。マニホルド171は、バルブV36バキュームによって選択的に接続される。一部の例において、このマニホルドはアルゴン(Ar)を供給するが、その他のガスが供給されてもよい。
図3および図3Bにおいて、ドーズパージ段階中に、プッシュガスが、バルブV215、MFC114、バルブV210、および、バルブV214を用いて、アンプル118に供給される。気化した前駆体が、バルブV205を用いてアンプル118を出て、バルブV206、制限オリフィス142、および、バルブV55を用いて、HIM134に流れる。HIM134の流出口は、バルブV166および制限オリフィス145によってバキュームに迂回される。さらに、マニホルド160の出力は、バルブV89およびV165によってノード163を経てシャワーヘッド14に方向付けられる。マニホルド150の出力は、バルブV167によって迂回される。パージガスが、バルブV162を用いて、シャワーヘッド14の背面に供給されてよい。
図3および図3Cにおいて、ドーズパージ後段階中に、プッシュガスが、バルブV215、MFC114、バルブV210、および、バルブV214を用いて、アンプル118に供給される。気化した前駆体が、バルブV205を用いてアンプル118を出て、バルブV206、制限オリフィス142、および、バルブV55を用いて、HIM134に流れる。HIM134の流出口は、バルブV166および制限オリフィス145によってバキュームに迂回される。さらに、マニホルド160の出力は、バルブV89およびV165によってノード163を経てシャワーヘッド14に方向付けられる。マニホルド150の出力は、バルブV69によってノード163を経てシャワーヘッド14に方向付けられる。パージガスが、バルブV162を用いて、シャワーヘッド14の背面に供給されてよい。
図3および図3Dにおいて、RF段階中に、プッシュガスが、バルブV215、MFC114、バルブV210、および、バルブV214を用いて、アンプル118に供給される。気化した前駆体が、バルブV205を用いてアンプル118を出て、バルブV206、制限オリフィス142、および、バルブV55を用いて、HIM134に流れる。HIM134の流出口は、バルブV166および制限オリフィス145によってバキュームに迂回される。マニホルド150の出力は、バルブV69によってシャワーヘッド14に方向付けられる。パージガスが、バルブV162を用いて、シャワーヘッド14の背面に供給されてよい。
図3および図3Eにおいて、RF後段階中に、プッシュガスが、バルブV215、MFC114、バルブV210、および、バルブV214を用いて、アンプル118に供給される。気化した前駆体が、バルブV205を用いてアンプル118を出て、バルブV206、制限オリフィス142、および、バルブV55を用いて、HIM134に流れる。HIM134の流出口は、バルブV166および制限オリフィス145によってバキュームに迂回される。マニホルド160の出力は、バルブV89およびV165によってシャワーヘッド14に方向付けられる。マニホルド150の出力は、バルブV167によって迂回される。パージガスが、バルブV162を用いて、シャワーヘッド14の背面に供給されてよい。
単に例として、ドーズ段階は、0.4秒の持続期間を有してよく、ドーズパージ段階は、0秒の持続期間を有してよく、ドーズパージ後段階は、0.4秒の持続期間を有してよく、RF段階は、0.6秒の持続期間を有してよく、RF後段階は、0.1秒の持続期間を有してよいが、その他の持続期間が用いられてもよい。ドーズパージ段階は、一部の例において、ゼロまたは非ゼロの持続期間を有してよい。
単に例として、ドーズ段階は、0.4秒の持続期間を有してよく、ドーズパージ段階は、0秒の持続期間を有してよく、ドーズパージ後段階は、0.4秒の持続期間を有してよく、RF段階は、0.6秒の持続期間を有してよく、RF後段階は、0.1秒の持続期間を有してよいが、その他の持続期間が用いられてもよい。ドーズパージ段階は、一部の例において、ゼロまたは非ゼロの持続期間を有してよい。
図11および図11Bにおいて、ドーズパージ段階中に、プッシュガスが、バルブV215、MFC114、および、バルブV210を用いて、バルブV214、V213、および、V202の片側に供給される。マニホルド144によって供給されたガスは、バルブV46によってHIM134に方向付けられる。HIM134の出力は、バルブV166BおよびV164Bの片側に供給される。マニホルド150の出力は、バルブV167によって迂回される。ガスが、バルブV89およびV165Bを介してマニホルド160からシャワーヘッド14へ供給される。パージガスが、バルブV162を用いて、シャワーヘッド14の背面に供給されてよい。マニホルド171は、バルブV36によってバキュームに迂回される。
図11および図11Cにおいて、ドーズパージ後段階中に、プッシュガスが、バルブV215、MFC114、および、バルブV210を用いて、バルブV214、V213、および、V202の片側に供給される。マニホルド144によって供給されたガスは、バルブV46によってHIM134に方向付けられる。HIM134の出力は、バルブV166BおよびV164Bの片側に供給される。マニホルド150の出力は、バルブV69Bによってシャワーヘッド14に供給される。ガスが、バルブV89およびV165Bを介してマニホルド160からシャワーヘッド14へ供給される。パージガスが、バルブV162を用いて、シャワーヘッド14の背面に供給されてよい。マニホルド171は、バルブV36によってバキュームに迂回される。
図11および図11Dにおいて、RF段階中に、プッシュガスが、バルブV215、MFC114、および、バルブV210を用いて、バルブV214、V213、および、V202の片側に供給される。マニホルド144によって供給されたガスは、バルブV46によってHIM134に方向付けられる。HIM134の出力は、バルブV166BおよびV164Bの片側に供給される。マニホルド150の出力は、バルブV69Bによってシャワーヘッドに供給される。パージガスが、バルブV162を用いて、シャワーヘッド14の背面に供給されてよい。マニホルド171は、バルブV36によってバキュームに迂回される。
図11および図11Eにおいて、RF後段階中に、プッシュガスが、バルブV215、MFC114、および、バルブV210を用いて、バルブV214、V213、および、V202の片側に供給される。マニホルド144によって供給されたガスは、バルブV46によってHIM134に方向付けられる。HIM134の出力は、バルブV166BおよびV164Bの片側に供給される。マニホルド150の出力は、バルブV167によってバキュームに迂回される。ガスが、バルブV89およびV165Bを介してマニホルド160からシャワーヘッド14へ供給される。パージガスが、バルブV162を用いて、シャワーヘッド14の背面に供給されてよい。マニホルド171は、バルブV36によってバキュームに迂回される。
単に例として、ドーズ段階は、0.4秒の持続期間を有してよく、ドーズパージ段階は、0.3秒の持続期間を有してよく、ドーズパージ後段階、0.1秒の持続期間を有してよく、RF段階は、0.4秒の持続期間を有してよく、RF後段階は、0.15秒の持続期間を有してよいが、その他の持続期間が用いられてもよい。
ここで、図12を参照すると、コントローラ40は、温度フィードバックを提供してヒータ121を制御するために、温度センサすなわち熱電対123および125に接続されてよい。コントローラ40は、さらに、アンプル118の前駆体充填レベルを制御するために、レベルセンサ127と通信してよい。コントローラ40は、さらに、蒸気供給システムのラインの内の1または複数のラインに配置された圧力調整器271の調節を可能にするために、1または複数の圧力センサ270を監視してよい。コントローラ40は、さらに、制限オリフィス142を制御してラインのコンダクタンスを調節するために用いられてもよい。一部の例において、制限オリフィス142は、1または複数のシステムセンサからのフィードバックに基づいて調節されてよい。コントローラ40は、さらに、集合的に符号274で示したバルブおよびMFC114と通信する。コントローラ40は、マニホルド144、150、および、160に関連するものなど、1または複数のガス供給システム(集合的に符号280で示す)と通信する。
要素が明確に「means for(ための手段)」という表現を用いて記載されていない限りは、もしくは、「opration for(ための動作)」または「stage for(ための段階)」という表現を用いた方法請求項の場合には、請求項に記載の要素は、米国特許法第112条(f)の意義の範囲内でミーンズ・プラス・ファンクション要素であることを意図されていない。

本発明は、たとえば、以下のような態様で実現することもできる。

適用例1:
基板処理システムのための蒸気供給システムであって、
液体前駆体を収容するためのアンプルと、
前記アンプルを所定の温度まで選択的に加熱して、前記液体前駆体を少なくとも部分的に気化させるためのヒータと、
流入口および流出口を備えた加熱注入マニホルドと、
プッシュガス源と流体連通する流入口および前記アンプルと流体連通する流出口を有する第1のバルブと、
前記アンプルから気化前駆体を受け入れるための流入口および前記加熱注入マニホルドの前記流入口と流体連通する流出口を有する第2のバルブと、
バルブマニホルドであって、
前記加熱注入マニホルドの流出口と流体連通する第1のノードと、
前記第1のノードと流体連通する流入口およびバキュームと流体連通する流出口を有する第3のバルブと、
前記第1のノードと流体連通する流入口および第2のノードと流体連通する流出口を有する第4のバルブと、
前記第2のノードと流体連通する流出口を有する第5のバルブと、
前記第2のノードと流体連通する流出口を有する第6のバルブと、を備えた、バルブマニホルドと、
前記第2のノードと流体連通するガス分配装置と、
を備える、蒸気供給システム。

適用例2:
適用例1の蒸気供給システムであって、前記ガス分配装置は、シャワーヘッドを含む、蒸気供給システム。

適用例3:
適用例1の蒸気供給システムであって、さらに、
前記第2のバルブの前記流出口と流体連通する流入口を有する第7のバルブと、
前記第2のバルブの前記流出口と流体連通する制限オリフィスと、
前記制限オリフィスと流体連通する流入口および前記加熱注入マニホルドと流体連通する流出口を有する第8のバルブと、
を備える、蒸気供給システム。

適用例4:
適用例3の蒸気供給システムであって、さらに、
第1のガスマニホルドと流体連通する流入口および前記第5のバルブの流入口と流体連通する流出口を有する第9のバルブを備える、蒸気供給システム。

適用例5:
適用例4の蒸気供給システムであって、さらに、前記第1のガスマニホルドと流体連通する流入口および前記第1のガスマニホルドから前記ガス分配装置の背面にガスを供給する流出口を有する第10のバルブを備える、蒸気供給システム。

適用例6:
適用例4の蒸気供給システムであって、さらに、第2のガスマニホルドおよび前記第6のバルブの流入口と流体連通する流入口ならびにバキューム源と流体連通する流出口を有する第10のバルブを備える、蒸気供給システム。

適用例7:
適用例6の蒸気供給システムであって、さらに、
ドーズ段階中に、
前記第1のバルブを用いて、前記アンプルにプッシュガスを供給し、
前記第2のバルブ、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記アンプルから前記加熱注入マニホルドに前記気化前駆体を供給し、
前記第4のバルブを用いて、前記加熱注入マニホルドから前記ガス分配装置に前記気化前駆体を供給し、
前記第10のバルブを用いて、前記第2のガスマニホルドを迂回させるよう構成されたコントローラを備える、蒸気供給システム。

適用例8:
適用例7の蒸気供給システムであって、前記コントローラは、さらに、
前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、
前記第1のバルブを用いて、前記アンプルにプッシュガスを供給し、
前記第2のバルブ、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記アンプルから前記加熱注入マニホルドに前記気化前駆体を供給し、
前記前記第3のバルブおよび前記第4のバルブを用いて、前記加熱注入マニホルドからバキュームに前記気化前駆体を迂回させ、
前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。

適用例9:
適用例7の蒸気供給システムであって、前記コントローラは、さらに、
前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記第2のバルブ、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに、そして、前記第4のバルブを用いて、前記ガス分配装置に、前記気化前駆体を含まない前記プッシュガスを供給し、
前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。

適用例10:
適用例7の蒸気供給システムであって、前記コントローラは、さらに、
前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記第2のバルブ、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに前記気化前駆体を含まない前記プッシュガスを供給し、前記第3のバルブおよび前記第4のバルブを用いて、前記加熱注入マニホルドからバキュームに前記プッシュガスを迂回させ、
前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。

適用例11:
適用例7の蒸気供給システムであって、前記コントローラは、さらに、
前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記プッシュガスをバキュームに迂回させ、
前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。

適用例12:
適用例7の蒸気供給システムであって、前記コントローラは、さらに、
前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記プッシュガスをバキュームに迂回させ、第3のガスマニホルドから前記加熱注入マニホルドにガスを供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの前記流出口を迂回させ、
前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。

適用例13:
適用例7の蒸気供給システムであって、前記コントローラは、さらに、
前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
前記ドーズパージ段階中に、前記第7のバルブ、前記制限オリフィス、前記第8のバルブ、および、前記第4のバルブを用いて、前記加熱注入マニホルドおよび前記ガス分配装置に前記気化前駆体を含まない前記プッシュガスを供給し、前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
前記ドーズパージ後段階および前記RF段階中に、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに前記気化前駆体を含まない前記プッシュガスを供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力を迂回させ、
前記RF後段階中に、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに気化前駆体を供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力を迂回させ、
前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。

適用例14:
適用例7の蒸気供給システムであって、前記コントローラは、さらに、
前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
前記ドーズパージ段階中に、前記第7のバルブ、前記制限オリフィス、前記第8のバルブ、および、前記第4のバルブを用いて、前記加熱注入マニホルドおよび前記ガス分配装置に前記気化前駆体を含まない前記プッシュガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
前記ドーズパージ後段階および前記RF段階中に、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに前記気化前駆体を含まない前記プッシュガスを供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力を迂回させ、
前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
前記RF後段階中に、前記第1のバルブ、前記第2のバルブ、前記第7のバルブ、および、前記第8のバルブを用いて、前記加熱注入マニホルドに気化前駆体を供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力を迂回させるよう構成されている、蒸気供給システム。

適用例15:
適用例7の蒸気供給システムであって、前記コントローラは、さらに、
前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
前記ドーズ段階中に、前記第9のバルブが閉じられた状態で、第3のマニホルドから第11のバルブおよび前記第5のバルブを通して前記ガス分配装置にガスを供給し、
前記ドーズパージ段階中に、前記加熱注入マニホルドにパージガスも気化前駆体も供給せず、前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
前記ドーズパージ後段階中に、前記加熱注入マニホルドにパージガスも気化前駆体も供給せず、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
前記RF段階中に、前記加熱注入マニホルドにパージガスも気化前駆体も供給せず、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
前記RF後段階中に、前記加熱注入マニホルドにパージガスも気化前駆体も供給せず、前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給するよう構成されている、蒸気供給システム。

適用例16:
適用例1の蒸気供給システムであって、さらに、
前記アンプル内の前記液体前駆体のレベルを検知するためのレベルセンサと、
前記レベルに基づいて、前記アンプル内の前記液体前駆体のレベルを自動的に維持するための前駆体供給源と、を備える、蒸気供給システム。

適用例17:
適用例16の蒸気供給システムであって、さらに、
前記アンプル内の第1の位置で前記液体前駆体の温度を検知するための第1の温度センサと、
前記アンプル内の第2の位置で前記液体前駆体の温度を検知するための第2の温度センサと、
を備え、
前記第1の位置は、目標充填レベルに配置され、前記第2の位置は、前記目標充填レベルと補充位置との間に配置される、蒸気供給システム。

適用例18:
適用例1の蒸気供給システムであって、前記ガス分配装置は、基板処理チャンバ内に配置され、原子層蒸着および化学蒸着の少なくとも一方が、前記基板処理チャンバ内で実行される、蒸気供給システム。

適用例19:
適用例18の蒸気供給システムであって、さらに、前記基板処理チャンバ内でプラズマを発生させるためのプラズマ発生器を備える、蒸気供給システム。

Claims (19)

  1. 基板処理システムのための蒸気供給システムであって、
    液体前駆体を収容するためのアンプルと、
    前記アンプルを所定の温度まで選択的に加熱して、前記液体前駆体を少なくとも部分的に気化させ気化前駆体を形成するためのヒータと、
    流入口および流出口を備えた加熱注入マニホルドと、
    プッシュガス源と流体連通する流入口および前記アンプルと流体連通する流出口を有する第1のバルブと、
    前記アンプルから前記気化前駆体を受け入れるための流入口および前記加熱注入マニホルドの前記流入口と流体連通する前記流出口を有する第2のバルブと、
    バルブマニホルドであって、
    前記加熱注入マニホルドの流出口と流体連通する第1のノードと、
    前記第1のノードと流体連通する流入口およびバキュームと流体連通する流出口を有する第3のバルブと、
    前記第1のノードと流体連通する流入口および第2のノードと流体連通する流出口を有する第4のバルブと、
    前記第2のノードと流体連通する流出口を有する第5のバルブと、
    前記第2のノードと流体連通する流出口を有する第6のバルブと、を備えた、バルブマニホルドと、
    前記第2のノードと流体連通するガス分配装置と、
    を備える、蒸気供給システム。
  2. 請求項1に記載の蒸気供給システムであって、前記ガス分配装置は、シャワーヘッドを含む、蒸気供給システム。
  3. 請求項1に記載の蒸気供給システムであって、さらに、
    前記第2のバルブの前記流出口と流体連通する流入口を有する第7のバルブと、
    前記第2のバルブの前記流出口と流体連通する制限オリフィスと、
    前記制限オリフィスと流体連通する流入口および前記加熱注入マニホルドと流体連通する流出口を有する第8のバルブと、
    を備える、蒸気供給システム。
  4. 請求項3に記載の蒸気供給システムであって、さらに、
    第1のガスマニホルドと流体連通する流入口および前記第5のバルブの流入口と流体連通する流出口を有する第9のバルブを備える、蒸気供給システム。
  5. 請求項4に記載の蒸気供給システムであって、さらに、前記第1のガスマニホルドと流体連通する流入口および前記第1のガスマニホルドから前記ガス分配装置の背面にガスを供給する流出口を有する第10のバルブを備える、蒸気供給システム。
  6. 請求項4に記載の蒸気供給システムであって、さらに、第2のガスマニホルドおよび前記第6のバルブの流入口と流体連通する流入口ならびにバキューム流体連通する流出口を有する第10のバルブを備える、蒸気供給システム。
  7. 請求項6に記載の蒸気供給システムであって、さらに、
    ドーズ段階中に、
    前記第1のバルブを用いて、前記アンプルにプッシュガスを供給し、
    前記第2のバルブ、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記アンプルから前記加熱注入マニホルドに前記気化前駆体を供給し、
    前記第4のバルブを用いて、前記加熱注入マニホルドから前記ガス分配装置に前記気化前駆体を供給し、
    前記第10のバルブを用いて、前記第2のガスマニホルドを迂回させるよう構成されたコントローラを備える、蒸気供給システム。
  8. 請求項7に記載の蒸気供給システムであって、前記コントローラは、さらに、
    前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
    前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、
    前記第1のバルブを用いて、前記アンプルに前記プッシュガスを供給し、
    前記第2のバルブ、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記アンプルから前記加熱注入マニホルドに前記気化前駆体を供給し、
    前記前記第3のバルブおよび前記第4のバルブを用いて、前記加熱注入マニホルドからバキュームに前記気化前駆体を迂回させ、
    前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
    前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。
  9. 請求項7に記載の蒸気供給システムであって、前記コントローラは、さらに、
    前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
    前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記第2のバルブ、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに、そして、前記第4のバルブを用いて、前記ガス分配装置に、前記気化前駆体を含まない前記プッシュガスを供給し、
    前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
    前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。
  10. 請求項7に記載の蒸気供給システムであって、前記コントローラは、さらに、
    前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
    前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記第2のバルブ、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに前記気化前駆体を含まない前記プッシュガスを供給し、前記第3のバルブおよび前記第4のバルブを用いて、前記加熱注入マニホルドからバキュームに前記プッシュガスを迂回させ、
    前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
    前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。
  11. 請求項7に記載の蒸気供給システムであって、前記コントローラは、さらに、
    前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
    前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記プッシュガスをバキュームに迂回させ、
    前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
    前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。
  12. 請求項7に記載の蒸気供給システムであって、前記コントローラは、さらに、
    前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
    前記ドーズパージ段階、前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記プッシュガスをバキュームに迂回させ、第3のガスマニホルドから前記加熱注入マニホルドにガスを供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力をバキュームに迂回させ、
    前記ドーズパージ段階中に、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
    前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブおよび前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。
  13. 請求項7に記載の蒸気供給システムであって、前記コントローラは、さらに、
    前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
    前記ドーズパージ段階中に、前記第7のバルブ、前記制限オリフィス、前記第8のバルブ、および、前記第4のバルブを用いて、前記加熱注入マニホルドおよび前記ガス分配装置に前記気化前駆体を含まない前記プッシュガスを供給し、前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記ドーズパージ後段階および前記RF段階中に、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに前記気化前駆体を含まない前記プッシュガスを供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力を迂回させ、
    前記RF後段階中に、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに前記気化前駆体を供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力を迂回させ、
    前記ドーズパージ後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記RF段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
    前記RF後段階中に、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させるよう構成されている、蒸気供給システム。
  14. 請求項7に記載の蒸気供給システムであって、前記コントローラは、さらに、
    前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
    前記ドーズパージ段階中に、前記第7のバルブ、前記制限オリフィス、前記第8のバルブ、および、前記第4のバルブを用いて、前記加熱注入マニホルドおよび前記ガス分配装置に前記気化前駆体を含まない前記プッシュガスを供給し、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
    前記ドーズパージ後段階および前記RF段階中に、前記第7のバルブ、前記制限オリフィス、および、前記第8のバルブを用いて、前記加熱注入マニホルドに前記気化前駆体を含まない前記プッシュガスを供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力を迂回させ、
    前記ドーズパージ後段階、前記RF段階、および、前記RF後段階中に、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給せず、
    前記RF後段階中に、前記第1のバルブ、前記第2のバルブ、前記第7のバルブ、および、前記第8のバルブを用いて、前記加熱注入マニホルドに前記気化前駆体を供給し、前記第3のバルブを用いて、前記加熱注入マニホルドの出力を迂回させるよう構成されている、蒸気供給システム。
  15. 請求項7に記載の蒸気供給システムであって、前記コントローラは、さらに、
    前記ドーズ段階後に、順次、ドーズパージ段階、ドーズパージ後段階、高周波(RF)段階、および、RF後段階で動作し、
    前記ドーズ段階中に、前記第9のバルブが閉じられた状態で、第3のマニホルドから第11のバルブおよび前記第5のバルブを通して前記ガス分配装置にガスを供給し、
    前記ドーズパージ段階中に、前記加熱注入マニホルドにパージガスも前記気化前駆体も供給せず、前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記ドーズパージ後段階中に、前記加熱注入マニホルドに前記パージガスも前記気化前駆体も供給せず、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記RF段階中に、前記加熱注入マニホルドに前記パージガスも前記気化前駆体も供給せず、前記第6のバルブを用いて、前記第2のガスマニホルドから前記ガス分配装置にガスを供給し、
    前記RF後段階中に、前記加熱注入マニホルドに前記パージガスも前記気化前駆体も供給せず、前記第10のバルブを用いて、前記第2のガスマニホルドからガスを迂回させ、前記第9のバルブおよび前記第5のバルブを用いて、前記第1のガスマニホルドから前記ガス分配装置にガスを供給するよう構成されている、蒸気供給システム。
  16. 請求項1に記載の蒸気供給システムであって、さらに、
    前記アンプル内の前記液体前駆体のレベルを検知するためのレベルセンサと、
    前記レベルに基づいて、前記アンプル内の前記液体前駆体のレベルを自動的に維持するための前駆体供給源と、を備える、蒸気供給システム。
  17. 請求項16に記載の蒸気供給システムであって、さらに、
    前記アンプル内の第1の位置で前記液体前駆体の温度を検知するための第1の温度センサと、
    前記アンプル内の第2の位置で前記液体前駆体の温度を検知するための第2の温度センサと、
    を備え、
    前記第1の位置は、目標充填レベルに配置され、前記第2の位置は、前記目標充填レベルと補充位置との間に配置される、蒸気供給システム。
  18. 請求項1に記載の蒸気供給システムであって、前記ガス分配装置は、基板処理チャンバ内に配置され、原子層蒸着および化学蒸着の少なくとも一方が、前記基板処理チャンバ内で実行される、蒸気供給システム。
  19. 請求項18に記載の蒸気供給システムであって、さらに、前記基板処理チャンバ内でプラズマを発生させるためのプラズマ発生器を備える、蒸気供給システム。
JP2015148200A 2014-08-01 2015-07-28 蒸気供給のためのシステムおよび方法 Active JP6868951B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462032234P 2014-08-01 2014-08-01
US62/032,234 2014-08-01
US14/798,652 US9970108B2 (en) 2014-08-01 2015-07-14 Systems and methods for vapor delivery in a substrate processing system
US14/798,652 2015-07-14

Publications (3)

Publication Number Publication Date
JP2016035103A JP2016035103A (ja) 2016-03-17
JP2016035103A5 true JP2016035103A5 (ja) 2016-04-28
JP6868951B2 JP6868951B2 (ja) 2021-05-12

Family

ID=55179419

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015148200A Active JP6868951B2 (ja) 2014-08-01 2015-07-28 蒸気供給のためのシステムおよび方法

Country Status (6)

Country Link
US (1) US9970108B2 (ja)
JP (1) JP6868951B2 (ja)
KR (3) KR102435685B1 (ja)
CN (1) CN105316657B (ja)
SG (1) SG10201505938UA (ja)
TW (1) TWI671425B (ja)

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11427907B2 (en) 2016-07-20 2022-08-30 Showa Denko K.K. Gas supply apparatus and gas supply method
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10351953B2 (en) * 2017-03-16 2019-07-16 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US11255017B2 (en) 2017-03-16 2022-02-22 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10844484B2 (en) * 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11661654B2 (en) * 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021048233A (ja) * 2019-09-18 2021-03-25 株式会社Kokusai Electric 原料貯留システム、基板処理装置、クリーニング方法およびプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210404058A1 (en) * 2020-06-24 2021-12-30 Applied Materials, Inc. Apparatus and methods to reduce particles in a film deposition chamber
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022067016A1 (en) * 2020-09-28 2022-03-31 Lam Research Corporation Compact modular gas distribution plumbing and heating system for multi-station deposition modules
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114067A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Valve systems for balancing gas flow to multiple stations of a substrate processing system

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0784662B2 (ja) * 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
US5455014A (en) * 1993-07-20 1995-10-03 Hughes Aircraft Company Liquid deposition source gas delivery system
JPH09298171A (ja) * 1996-05-08 1997-11-18 Tokyo Electron Ltd 処理ガスの供給方法及びその装置
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
KR101562180B1 (ko) * 2008-03-17 2015-10-21 어플라이드 머티어리얼스, 인코포레이티드 앰풀용 가열형 밸브 매니폴드
US8356630B2 (en) * 2008-06-02 2013-01-22 Eaton Corporation Valve damping system
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
WO2010135250A2 (en) * 2009-05-22 2010-11-25 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
US20110048639A1 (en) * 2009-08-31 2011-03-03 E. I. Du Pont De Nemours And Company Apparatus and method for unloading a film cassette for gaseous vapor deposition
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP5650234B2 (ja) * 2009-11-16 2015-01-07 エフ・イ−・アイ・カンパニー ビーム処理システムに対するガス送達
JP5719138B2 (ja) * 2009-12-22 2015-05-13 株式会社日立国際電気 半導体装置の製造方法および基板処理方法
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US20130068320A1 (en) * 2011-06-17 2013-03-21 Son Nguyen Protective material for gas delivery in a processing system
US8985152B2 (en) * 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
JP2014007289A (ja) * 2012-06-25 2014-01-16 Tokyo Electron Ltd ガス供給装置及び成膜装置

Similar Documents

Publication Publication Date Title
JP2016035103A5 (ja)
JP6868951B2 (ja) 蒸気供給のためのシステムおよび方法
US9637821B2 (en) Method for supplying vaporized precursor
TWI742081B (zh) 固態及液態前驅物用蒸汽輸送方法及設備
JP5755958B2 (ja) 半導体製造装置の原料ガス供給装置
JP5174805B2 (ja) キャリアガス及び液体混合物を導入、注入または供給するための装置並びに上記装置を使用するための方法
KR20200042961A (ko) 난류 나선형 멀티-존 (multi-zone) 전구체 기화기
JP2014114463A5 (ja)
JP2008240153A (ja) 前駆体用の供給装置
JPH06220641A (ja) 化学的蒸着膜工程のための反応液体の気化
US20150292084A1 (en) Integrated multi-headed atomizer and vaporization system and method
US11788190B2 (en) Liquid vaporizer
WO2008041769A1 (en) Liquid material vaporizer
US11946136B2 (en) Semiconductor processing device
JP2004514997A (ja) 低容量液体流の計量化送出のための方法および装置
JP4511414B2 (ja) 気化器
KR100709035B1 (ko) 박막증착장치용 직접액체분사시스템
JP3393702B2 (ja) 液体材料気化流量制御器
US20230369072A1 (en) Systems and methods to reduce flow accuracy error for liquid & gas mass flow controller devices
JP2004087952A (ja) 表面処理用ガス供給装置
JPH07230322A (ja) 気化流量制御器
JPH05192501A (ja) 液体材料気化供給装置