JP2014509454A - 電荷蓄積装置、システム、および方法 - Google Patents

電荷蓄積装置、システム、および方法 Download PDF

Info

Publication number
JP2014509454A
JP2014509454A JP2013555567A JP2013555567A JP2014509454A JP 2014509454 A JP2014509454 A JP 2014509454A JP 2013555567 A JP2013555567 A JP 2013555567A JP 2013555567 A JP2013555567 A JP 2013555567A JP 2014509454 A JP2014509454 A JP 2014509454A
Authority
JP
Japan
Prior art keywords
polysilicon
dielectric
charge storage
layer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013555567A
Other languages
English (en)
Other versions
JP5877210B2 (ja
JP2014509454A5 (ja
Inventor
ディー. タン,サン
ケー. ザフラク,ジョン
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2014509454A publication Critical patent/JP2014509454A/ja
Publication of JP2014509454A5 publication Critical patent/JP2014509454A5/ja
Application granted granted Critical
Publication of JP5877210B2 publication Critical patent/JP5877210B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

多層半導体デバイスを含む装置およびシステムに加えて、多層半導体デバイスを形成する方法が記載される。このような方法の1つにおいて、開口部は、半導体材料の層および誘電体の層内に形成される。開口部によって露出される半導体材料の層の一部分が、層内の残りの半導体材料とは異なってドープされるように処理される。その層の残りの半導体材料の少なくとも実質的に全てが除去され、半導体材料の層の異なってドープされた一部分を電荷蓄積構造として残す。トンネリング誘電体は、電荷蓄積構造の第1の表面上に形成され、インターゲート誘電体は、電荷蓄積構造の第2の表面上に形成される。さらなる実施形態も記載される。
【選択図】図19

Description

優先権出願
本特許出願は、参照によりその全体が本明細書に組み込まれる、2011年2月25日出願の米国特許出願番号第13/035,700号からの優先権の利益を主張する。
不揮発性半導体メモリ(NVSM)は、個人情報機器(PDA)、ラップトップコンピュータ、携帯電話、およびデジタルカメラ等の多くの電子デバイスにおいて広く使用されている。これらのメモリのいくつかは、浮遊ゲートトランジスタ等の電荷蓄積トランジスタの配列を有する。
いくつかの実施形態が以下の添付の図面の図中に示され、これらは、例示を目的としており、限定するものではない。
本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う半導体構造の3次元図である。 本発明の様々な実施形態に従う方法の流れ図である。 本発明の様々な実施形態に従うシステムを例示する略図である。
本発明の様々な実施形態に従う電荷蓄積デバイスの配列は、否定論理積(NAND)記憶デバイス等の記憶デバイスの記憶セルの配列として機能することができる。
図1は、本発明の様々な実施形態に従う半導体構造100の3次元図である。簡潔化および明確化のために、半導体構造100の層および領域は、図1〜図8にわたって同一の参照番号で識別される。薄膜トランジスタ(TFT)を備える電荷蓄積デバイスの配列は、本明細書で後述されるように、半導体構造100内に形成される。半導体構造100は、本発明の様々な実施形態に従う記憶セルのNANDストリングの配列を備える。
半導体構造100は、ドープされていないポリシリコン110および誘電体120等の半導体材料の交互層を含む。誘電体120の各層は、ドープされていないポリシリコン110の2つの層の間にあり、それらの層と接触している。誘電体120は、本発明の様々な実施形態に従って、例えば、二酸化ケイ素(SiO)、酸窒化物または窒化酸化物を含んでもよい。ハードマスク(図示せず)は、半導体構造100の最上部のドープされていないポリシリコン110の層の1つの上に形成されてもよい。ハードマスクは、例えば、本発明の様々な実施形態に従って、二酸化ケイ素(SiO)、窒化ケイ素(Si)またはポリシリコンであってもよい。図1は、ドープされていないポリシリコン110の3つの層および誘電体120の2つの層を示し、半導体構造100は、例えば、ドープされていないポリシリコン110の8個、16個、24個、32個、40個、48個またはそれ以上の層を含んでもよく、本発明の様々な実施形態に従って、誘電体120の層と交互に形成される。半導体構造100において、P型またはN型のポリシリコンを、本発明の様々な実施形態に従って、ドープされていないポリシリコン110の代わりに使用してもよい。
図2は、本発明の様々な実施形態に従う半導体構造100の3次元図である。空孔220等の開口部は、半導体構造100の中にドープされていないポリシリコン110および誘電体120の層を貫通してエッチングされる。本発明の様々な実施形態によれば、空孔220をパターン化して、単一の乾式エッチング、例えば反応イオンエッチング等を用いて、半導体構造100を貫通させてエッチングしてもよい。
図3は、本発明の様々な実施形態に従う半導体構造100の3次元図である。半導体構造100は、ホウ素等のP型ドーパントを受ける。ホウ素は、例えば、プラズマ補助付着(PLAD)で植設されてもよい。空孔220によって露出されるドープされていないポリシリコン110の部分は、空孔220を通してホウ素を受け、空孔220を包囲するP+型ポリシリコンの環306が生じる。P+型ポリシリコンの環306は、ホウ素が達しないドープされていないポリシリコン110の部分に囲まれている。ハードマスク(図示せず)は、ホウ素をハードマスク表面下の半導体構造100に達するのを実質的に防ぐ。半導体構造100は、本発明の様々な実施形態に従って、ホウ素以外のP型ドーパントでドープされてもよい。
空孔220によって露出されるドープされていないポリシリコン110の部分は、ホウ素を受け、環でなくてもよい。すなわち、これらの部分は、本発明の様々な実施形態に従って、それぞれの空孔220を部分的に包囲するだけでもよい。
あるいは、P型ポリシリコンは、空孔220のプラグと形成されても(例えば、堆積される)よく、ホウ素等のP型ドーパントが、P型ポリシリコンから空孔220に隣接してドープされていないポリシリコン110の中に拡散することを可能にする。P+型ポリシリコンの環306が形成されれば、次いでP型ポリシリコンプラグが空孔220から選択的に除去される。P+型ポリシリコンの環306を、本発明の様々な実施形態に従って、ガスまたは固相ドーピングで形成してもよい。P+型ポリシリコンの環306は、ポリシリコンの環306が選択的にエッチングされる残りのポリシリコンとは異なってドープされる限り、本発明の様々な実施形態に従って、ドープされてN型になっても、ドープされないままであってもよい。
図4は、本発明の様々な実施形態に従う半導体構造100の3次元図である。トンネリング誘電体428は、誘電体120上の空孔220およびP+型ポリシリコンの環306の内部に形成される。トンネリング誘電体428は、例えば、二酸化ケイ素(SiO)または窒化ケイ素(Si)であってもよく、堆積したものまたは成長させたものでもよい。薄いシリコン膜442は、トンネリング誘電体428上の空孔220の内部に形成(例えば、堆積)される。薄いシリコン膜442は、約3ナノメートル〜約15ナノメートルの厚さと、約30ナノメートル〜約100ナノメートルの外寸法(図4では直径)を有する。薄いシリコン膜442が、P+型ポリシリコンの環306を含むTFT用チャネルとして使用されてもよい。
図5は、本発明の様々な実施形態に従う半導体構造100の3次元図である。垂直スロット560は、ドープされていないポリシリコン110および誘電体120の層を貫通して、かつ空孔220同士の間にエッチングして作ることができ、半導体構造100を分割する。本発明の様々な実施形態によれば、垂直スロット560をパターン化して、単一の乾式エッチング、例えば反応イオンエッチング等を用いて、エッチングしてもよい。
図6は、本発明の様々な実施形態に従う半導体構造100の3次元図である。ドープされていないポリシリコン110の部分(例えば、少なくともドープされていないポリシリコン110の実質的に全て)は、エッチングによって除去され、P+型ポリシリコンの環306および誘電体120の層が残る。例えば、ドープされていないポリシリコン110は、水酸化テトラメチルアンモニウム(TMAH)エッチングによって、選択的に除去することができる。上で記述されるハードマスク(図示せず)は、TMAHエッチングの間も残存する。P+型ポリシリコンの環306は、ほぼ10ナノメートル〜15ナノメートルの厚さであってもよく、そして、半導体構造100において、フローティングゲート等の電荷蓄積構造として使うことができる。ドープされていないポリシリコン110によって以前ふさがれていた誘電体120の層間の空所670を、誘電体120の部分を除去するウェットエッチングによって広げることができる。誘電体120は、P+型ポリシリコンの環306が誘電体120からオーバーハング状に突出するように、ウェットエッチングによってP+型ポリシリコンの環306に対して下を切り取ることができる。
図7は、本発明の様々な実施形態に従う半導体構造100の3次元図である。誘電体120間の広がった空所670は、第1のインターゲート誘電体(IGD)、例えばP+型ポリシリコンの環306の周囲に形成される第1のポリ間誘電体(IPD)層を収容することができる。誘電体120は、例えば、希釈したフッ化水素酸または水酸化アンモニウムによってエッチングされてもよい。P+型ポリシリコンの環306が、酸素に露出され、第1のIPD層として二酸化ケイ素(SiO)710を形成してもよい。二酸化ケイ素(SiO)710は、P+型ポリシリコンの環306上でP+型ポリシリコンの環306と誘電体120との間の縁部まで選択的に成長させることができる。
図8は、本発明の様々な実施形態に従う半導体構造100の3次元図である。窒化ケイ素(Si)820を、二酸化ケイ素(SiO)710および誘電体120を含む半導体構造100上に堆積してもよい。二酸化ケイ素(SiO)824を、空所670内の窒化ケイ素(Si)820上に堆積してもよい。二酸化ケイ素(SiO)710および824ならびに窒化ケイ素(Si)820は共に、P+型ポリシリコンの環306とワード線840との間にオキシド窒化酸化物(SiOSiSiOまたは「ONO」)のIPDを含む。IPDは、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、酸化アルミニウム(AlO)または酸化チタン(TiO)を択一的に含んでもよい。ワード線840に関して、金属を半導体構造100上に形成(例えば、堆積)し、金属ワード線840が相互から実質的に分離されるように、選択的にエッチングして誘電体120の層の間に金属ワード線840を形成し、空所670を充填することができる。金属を、本発明の様々な実施形態に従って、反応イオンエッチングによってエッチングしてもよい。金属は、本発明の様々な実施形態に従って、例えば、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)またはタングステン(W)であってもよい。二酸化ケイ素(SiO)710および824、窒化ケイ素(Si)820および金属ワード線840は、P+型ポリシリコンの環306を少なくとも部分的に包囲する(例えば、場合によっては完全に包囲する)。
半導体構造100のそれぞれの薄いシリコン膜442は、本発明の様々な実施形態に従って、NANDストリング870の複数の電荷蓄積トランジスタ860にチャネルを提供するのに使用してもよい。電荷蓄積トランジスタ860は、記憶セルと呼ばれる場合もある。それぞれの電荷蓄積トランジスタ860は、トンネリング誘電体428によって薄いシリコン膜442から分離される電荷蓄積構造であるP+型ポリシリコンの環306うちの1つの少なくとも一部を含む。それぞれの電荷蓄積トランジスタ860は、IPD(例えば、二酸化ケイ素(SiO)710および824ならびに窒化ケイ素(Si)820)によってP+型ポリシリコン306の環から分離されるワード線840のうちの1つの少なくとも一部をさらに含む。アクセスデバイス(図示せず)が、半導体構造100の下に形成され、薄いシリコン膜442を介して電荷蓄積トランジスタにアクセスする。それぞれのNANDストリングが示され、3つの電荷蓄積トランジスタ860を含んでおり、4つのNANDストリング870が、図8に示され、電荷蓄積トランジスタ860または記憶セルの配列を含む。それぞれのNANDストリング870は、それより多い電荷蓄積トランジスタを含んでもよく、半導体構造100は、本発明の様々な実施形態に従って、それより多いNANDストリングを含んでもよい。
図9は、本発明の様々な実施形態に従う半導体構造900の3次元図である。簡潔化および明確化のために、半導体構造900の層および領域は、図9〜図19にわたって同一の参照番号で識別される。TFTを備える電荷蓄積デバイスの配列は、本明細書において後述するように半導体構造900内に形成されることになる。半導体構造900は、本発明の様々な実施形態に従う記憶セルのNANDストリングの配列を備える。
ポリシリコンを堆積させて、半導体構造900のポリシリコン基板904を形成することができる。凹部をパターン化して、ポリシリコン基板904にエッチングされ、そして、窒化ケイ素(Si)906の細長い部分を、凹部に堆積することができる。ポリシリコン基板904は、エッチングして外縁からポリシリコンを取り除くこともできる。ポリシリコン基板904は、本発明の様々な実施形態に従って、反応イオンエッチングでエッチングしてもよい。窒化ケイ素(Si)906の細長い部分は、例えば、ポリシリコン基板904で止まる化学機械平坦化(CMP)等の平坦化を施すことができる。
ポリシリコン基板904および窒化ケイ素(Si)906を、他の方法で形成してもよい。例えば、ポリシリコンは堆積することができ、次いで窒化ケイ素(Si)を堆積することができる。窒化ケイ素(Si)は、パターン化してエッチングすることができ、エッチングはポリシリコン上で止まる。次いで、厚いポリシリコンを堆積させ、CMP等の平坦化を施すことができ、平坦化は、窒化ケイ素(Si)上で止まり、ポリシリコンを平面のままにする。窒化ケイ素(Si)906の上のポリシリコン基板904上に付加的なポリシリコンを形成することによって、窒化ケイ素(Si)906は、囲まれてもよい。ポリシリコン基板904の外縁上のポリシリコンを、本発明の様々な実施形態に従って、反応イオンエッチング等のエッチングを使用して(例えば、ワード線金属と共に)除去してもよい。
図10は、本発明の様々な実施形態に従う半導体構造900の3次元図である。半導体材料(例えばドープされていないポリシリコン1010)および誘電体1008の交互層がポリシリコン基板904上で形成されるので、誘電体1008は、ドープされていないポリシリコン1010またはポリシリコン基板904、およびドープされていないポリシリコン1010の2つの層の間にあり、及びそれらの層と接触している。誘電体1008は、本発明の様々な実施形態に従って、例えば、二酸化ケイ素(SiO)、酸窒化物または窒化酸化物を含んでもよい。ハードマスク1030は、半導体構造900の最上部のドープされていないポリシリコン1010の層の1つの上に形成される。ハードマスク1030は、例えば、二酸化ケイ素(SiO)、窒化ケイ素(Si)またはポリシリコンであってもよい。図10は、ドープされていないポリシリコン1010の3つの層および誘電体1008を示すが、半導体構造900は、例えば、ドープされていないポリシリコン1010の8個、16個、24個、32個、40個、48個またはそれ以上の層を含んでもよく、本発明の様々な実施形態に従って、誘電体1008と交互に形成される。P型またはN型のポリシリコンを、本発明の様々な実施形態に従って、ドープされていないポリシリコン1010の代わりに半導体構造100で使用してもよい。
図11は、本発明の様々な実施形態に従う半導体構造900の3次元図である。空孔1140等の開口部は、半導体構造900でパターン化され、エッチングされる。空孔1140は、パターン化されて、窒化ケイ素906の細長い部分の端に揃えられる。空孔1140は、ハードマスク1030、ドープされていないポリシリコン1010および誘電体1008の層を貫通してエッチングされ、窒化ケイ素906の細長い部分で止められる。空孔1140のうちの2つは、窒化ケイ素906の細長い部分のそれぞれの1つの各端部まで延在し、1つの空孔1140は、一方の端部まで延在するので、窒化ケイ素906のそれぞれの細長い部分が空孔1140の2つの間に延在する。空孔1140は、実質的に四角であるように示してあるが、実質的に円形、または実質的に楕円形であってもよく、または任意の適当な形状を有してもよい。本発明の様々な実施形態に従って、空孔1140を、単一の乾式エッチング、例えば反応イオンエッチング等を用いてエッチングする。
図12は、本発明の様々な実施形態に従う半導体構造900の3次元図である。半導体構造900は、ホウ素等のP型ドーパントを受ける。ホウ素は、例えば、PLADで植設されてもよい。空孔1140によって露出されるドープされていないポリシリコン1010の部分は、ホウ素を受け、空孔1140を包囲するP+型ポリシリコンの環1270が生じる。P+型ポリシリコンの環1270は、ホウ素が達しないドープされていないポリシリコン1010の部分に囲まれている。空孔1140が四角形であるので、P+型ポリシリコンの環1270は四角形状を有する。このように、環1270は、空孔1140の形状に合致した形状を概ね有する。ハードマスク1030は、ホウ素をハードマスク1030表面下の半導体構造900に達するのを実質的に防ぐ。半導体構造900は、本発明の様々な実施形態に従って、ホウ素以外のP型ドーパントでドープされてもよい。
あるいは、P型ポリシリコンは、空孔1140のプラグと形成されても(例えば、堆積される)よく、ホウ素等のP型ドーパントが、P型ポリシリコンから空孔1140に隣接するドープされていないポリシリコン1010の中に拡散することを可能にする。P+型ポリシリコンの環1270が形成されれば、次いでP型ポリシリコンプラグが空孔1140から選択的に除去される。P+型ポリシリコンの環1270を、本発明の様々な実施形態に従って、ガスまたは固相ドーピングで形成してもよい。P+型ポリシリコンの環1270は、ポリシリコンの環1270が選択的にエッチングされる残りのポリシリコンとは異なってドープされる限り、本発明の様々な実施形態に従って、ドープされてN型になっても、またはドープされないままであってもよい。
空孔1140によって露出されるドープされていないポリシリコン1010の部分は、ホウ素を受けるが、環ではない、P+型ポリシリコンの部分であってもよく、このため、これらの部分は、本発明の様々な実施形態に従って、それぞれの空孔1140を部分的に包囲するだけでもよい。
図13は、本発明の様々な実施形態に従う半導体構造900の3次元図である。窒化ケイ素906の細長い部分は、湿式の硝酸塩片(WNS)で空孔1140貫通して選択的に除去される。窒化ケイ素906の細長い部分を除去すると、ポリシリコン基板904には細長い空腔が残る。それぞれの細長い空腔は、空孔1140のうちの2つに開口し、端部の1つの空孔1140は、半導体構造900の中でU字形管1380を形成する。それぞれのU字形管1380は、開口部であり、開口部は、ハードマスク1030、ドープされていないポリシリコン1010および誘電体1008の層を貫通する空孔1140の1つであって、除去された窒化ケイ素906の細長い部分によって残された空腔と、ドープされていないポリシリコン1010と誘電体1008の層、およびハードマスク1030を貫通する空孔1140の第2の1つと、を含む。図13は、6つのU字形管1380を示しているが、本発明の様々な実施形態に従って、それより多いまたは少ない数のU字形管1380を、半導体構造900内に形成してもよい。
図14は、本発明の様々な実施形態に従う半導体構造900の3次元図である。トンネリング誘電体1492は、ハードマスク1030、誘電体1008およびP+型ポリシリコンの環1270上のU字形管1380の内部に形成される。トンネリング誘電体1492は、例えば、二酸化ケイ素(SiO)または窒化ケイ素(Si)であってもよく、本発明の様々な実施形態に従って、堆積したものまたは成長させたものでもよい。薄いシリコン膜は、トンネリング誘電体1492上のU字形管1380の内部に形成される(例えば、堆積される)。それぞれのU字形管1380の薄いシリコン膜は、U字形管チャネル1496を提供する。薄いシリコン膜は、厚さが約3ナノメートル〜約15ナノメートルの範囲であるので、U字形管チャネル1496は、約3ナノメートル〜約15ナノメートルの範囲の厚さを有し、30ナノメートル〜100ナノメートルの範囲の外寸法を有する。半導体構造900は、CMP等の平坦化が施され、U字形管チャネル1496の過剰な部分が除去される。
図15は、本発明の様々な実施形態に従う半導体構造900の3次元図である。二酸化ケイ素(SiO)マスク1516は、半導体構造900上に形成され、ハードマスク1030およびU字形管チャネル1496を被覆する。半導体構造900は、例えば、高温でテトラエチルオルトシリケート(TEOS)に曝露されて、二酸化ケイ素(SiO)マスク1516を形成することができる。
図16は、本発明の様々な実施形態に従う半導体構造900の3次元図である。垂直スロット1628は、マスク1516、ハードマスク1030およびドープされていないポリシリコン1010および誘電体1008の層を貫通して半導体構造900にエッチングされる。垂直スロット1628は、U字形管チャネル1496の空孔1140同士の間でエッチングされ、そして、ちょうどポリシリコン基板904の真上の誘電体1008の中で終端するので、U字形管チャネル1496が垂直スロット1628によって破られないようになっている。それぞれの垂直スロット1628は、3つの描写されるU字形管チャネル1496の空孔1140の間でエッチングされるように示されているが、それぞれの垂直スロット1628は、本発明の様々な実施形態に従って、半導体構造900の1つまたは複数のU字形管チャネルの空孔の間でエッチングされてもよい。本発明の様々な実施形態に従って、垂直スロット1628をパターン化して、単一の乾式エッチング、例えば反応イオンエッチング等を用いて、半導体構造900を貫通させてエッチングする。
図17は、本発明の様々な実施形態に従う半導体構造900の3次元図である。少なくともドープされていないポリシリコン1010の実質的に全ては、エッチングによって除去され、P+型ポリシリコンの環1270および誘電体1008の層が残る。ドープされていないポリシリコン1010は、本発明の様々な実施形態に従って、TMAHエッチングによって、選択的に除去することができる。ハードマスク1030およびマスク1516は、TMAHエッチングの間も残存する。P+型ポリシリコンの環1270は、ほぼ10ナノメートル〜15ナノメートルの厚さであってもよく、そして、半導体構造900において、フローティングゲート等の電荷蓄積構造として使うことができる。本発明の様々な実施形態によれば、電荷蓄積構造であるP+型ポリシリコンの部分は、完全な環ではなくてもよく、すなわち、部分的環が、それぞれの空孔1140を部分的に包囲するように形成されてもよい。ドープされていないポリシリコン1010によって以前ふさがれていた誘電体1008の層間の空所1732を、誘電体1008の部分を除去するウェットエッチングによって広げることができる。誘電体1008は、P+型ポリシリコンの環1270が誘電体1008からオーバーハング状に突出するように、ウェットエッチングによってP+型ポリシリコンの環1270に対して下を切り取ることができる。
図18は、本発明の様々な実施形態に従う半導体構造900の3次元図である。誘電体1008間の広がった空所1732(図17に示される)は、IPDを収容することができる。誘電体1008は、例えば、希釈したフッ化水素酸または水酸化アンモニウムによってエッチングされてもよい。P+型ポリシリコンの環1270が、酸素に曝露されて、二酸化ケイ素(SiO)1838を形成してもよい。二酸化ケイ素(SiO)1838を、P+型ポリシリコンの環1270上にP+型ポリシリコンの環1270と誘電体120との間の縁部まで選択的に成長させることができる。窒化ケイ素(Si)1842を、二酸化ケイ素(SiO)1838と、マスク1516、ハードマスク1030と、垂直スロット1628によって露出された誘電体1008とを含む半導体構造900上に堆積することができる。次いで、二酸化ケイ素(SiO)1846を、空所1732の中で窒化ケイ素(Si)1842上に堆積することができる。二酸化ケイ素(SiO)1838と1846および窒化ケイ素(Si)1842は、共に、P+型ポリシリコンの環1270とワード線1852との間にONOのIPDを備える。ワード線1852に関しては、金属を、二酸化ケイ素(SiO)1838および1846および窒化ケイ素(Si)1842を含むIPDが被覆する誘電体1008の間に、形成して(例えば、堆積させる)、空所1732を充填することができる。金属は、本発明の様々な実施形態に従って、例えば、窒化チタン(TiN)、タンタル(Ta)、またはタングステン(W)であってもよい。金属は、選択的にエッチングされて、実質的に相互に分離される金属ワード線1852を空所1732内に形成する。金属を、例えば、反応イオンエッチングによってエッチングしてもよい。二酸化ケイ素(SiO)1838および1846、窒化ケイ素(Si)1842および金属ワード線1852は、P+型ポリシリコンの環1270を少なくとも部分的に包囲する(例えば、場合によっては、完全に包囲する)。
図19は、本発明の様々な実施形態に従う半導体構造900の3次元図である。垂直スロット1628は、例えば、二酸化ケイ素(SiO)等の誘電体1966で充填される。U字形管チャネル1496の内部空間は、エアーギャップを含んでもよく、または、ポリシリコンまたは例えば、二酸化ケイ素(SiO)等の誘電体で充填されてもよい。
半導体構造900のそれぞれのU字形管チャネル1496は、本発明の様々な実施形態に従って、NANDストリング1990の複数の電荷蓄積トランジスタ1980用チャネルを提供することができる。電荷蓄積トランジスタ1980は、記憶セルと呼ばれる場合もある。それぞれの電荷蓄積トランジスタ1980は、トンネリング誘電体1492によってU字形管チャネル1496から分離される電荷蓄積構造であるP+型ポリシリコンの環1270のうちの1つの少なくとも一部を含む。それぞれの電荷蓄積トランジスタ1980は、IPD(例えば、二酸化ケイ素(SiO)1838および1846ならびに窒化ケイ素(Si)1842)によってP+型ポリシリコン1270の環から分離される金属ワード線1852のうちの1つの少なくとも一部をさらに含む。アクセスデバイス(図示せず)が、半導体構造900の下に形成され、U字形管チャネル1496を介してチャネルおよび電荷蓄積トランジスタ1980にアクセスする。図19には、3つの電荷蓄積トランジスタ1980を含む、それぞれのNANDストリング1990が示され、電荷蓄積トランジスタ1980または記憶セルの配列を含む、6つのNANDストリング1990が示される。本発明の様々な実施形態に従って、それぞれのNANDストリングは、それより多い電荷蓄積トランジスタを含んでもよく、半導体構造900は、より多くのNANDストリングを含んでもよい。
図20は、本発明の様々な実施形態に従う1つの方法2000の流れ図である。ブロック2010において、方法2000が開始する。ブロック2020において、開口部を、ポリシリコンおよび第1の誘電体の交互層を含む半導体構造内に形成する。ブロック2030において、P型ドーパントを、開口部によって露出されるポリシリコンの一部分に開口部を通して添加する。ブロック2040において、P型ドーパントを受容しなかった残りのポリシリコンの少なくとも実質的に全てを除去し、P型ポリシリコンを含む複数の電荷蓄積構造を残し、それぞれの電荷蓄積構造は、その層内の開口部を少なくとも部分的に包囲する。ブロック2050において、第2の誘電体を、それぞれの電荷蓄積構造の第1の表面上(例えば、電荷蓄積構造と開口部との間)に形成する。ブロック2060において、第3の誘電体を、それぞれの電荷蓄積構造の第2の表面上(例えば、ポリシリコンが除去されたところ)に形成する。ブロック2070において、金属ゲートを、それぞれの第3の誘電体上に形成する。ブロック2080において、方法2000が終了する。様々な実施形態では、図20に示されるアクティビティよりも多いか、または少ないアクティビティを有してもよい。いくつかの実施形態では、アクティビティが繰り返され、かつ/または直列もしくは並列様式で実行されてもよい。
半導体材料の多層内に特徴を形成することが困難であるため、多層半導体デバイスの製造に費用がかかる場合がある。本発明者は、上記の課題のうちの少なくともいくつか、および他の課題を、例えば、ポリシリコンおよび誘電体の交互層を含む半導体構造に開口部を形成することによって対処することができることを発見した。ドーパントを、開口部によって露出されるポリシリコンに添加することができ、ドーパントを受けなかったポリシリコンの少なくとも実質的に全てを除去し、ドープされたポリシリコンの部分を開口部の周囲に残す。誘電体を、ドープされたポリシリコンの部分のそれぞれの周囲に形成する。したがって、ドープされたポリシリコンの部分は、実質的に相互に電気的に分離されるので、一方に関わる動作は、ドープされたポリシリコンの隣接する部分には実質的には影響を及ぼさない。例えば、ドープされたポリシリコンの部分の1つが電荷蓄積構造としてプログラムされるまたは読み込まれる場合には、隣接した部分の電位は、結果的に、実質的に変更されない。
図21は、本発明の様々な実施形態に従うシステム2100を図解する略図である。システム2100は、プロセッサ2110、記憶デバイス2120、メモリコントローラ2130、グラフィックコントローラ2140、入出力(I/O)コントローラ2150、ディスプレイ2152、キーボード2154、ポインティングデバイス2156、周辺デバイス2158を含んでもよい。バス2160は、これらのデバイスの全てを連結する。クロック発振器2170はバス2160に連結され、バス2160通じてシステム2100のデバイスの少なくとも1つにクロック信号を提供する。クロック発振器2170は、マザーボード等の基板にオシレータを含んでもよい。システム2100に示される2つ以上のデバイスは、単一の集積回路チップ内に形成されてもよい。記憶デバイス2120は、本明細書に記載され、かつ本発明の様々な実施形態に従う図に示される、記憶セルのNANDストリングの配列870および/または記憶セルのNANDストリングの配列1990等のデバイスを含んでもよい。バス2160は、基板上の相互接続トレイスでもよく、または、1つまたは複数のケーブルでもよい。バス2160は、電磁放射、例えば、電波を用いる等の無線手段によってシステム2100のデバイスを連結してもよい。I/Oコントローラ2150に連結する周辺デバイス2158は、プリンタ、CD―ROMやDVDの読取りおよび書込み等の光学デバイス、フロッピー(登録商標)ディスクドライバ等の磁気デバイス読取りおよび書込み、またはマイクロホン等の音声デバイスであってもよい。
図21に示されるシステム2100は、コンピュータ(例えば、デスクトップコンピュータ、ラップトップコンピュータ、ハンドヘルドコンピュータ、サーバ、ウェブ機器、ルーター等)、無線通信デバイス(例えば、携帯電話、コードレスホン、無線呼出し、個人情報端末等)、コンピュータ関連の周辺機器(例えば、プリンタ、スキャナ、モニタ等)、エンターテイメントデバイス(例えば、テレビ、ラジオ、ステレオ、テープおよびコンパクトディスクプレーヤ、ビデオカセットレコーダ、ビデオカメラ、デジタルカメラ、MP3(カラー動画像データ圧縮標準規格、Motion Picture Experts Group、Audio Layer 3)プレーヤ、ビデオゲーム、腕時計等)等を含んでもよい。
本明細書に記載の回路またはシステムのうちのいずれもモジュールと称され得る。モジュールは、様々な実施形態に従う回路および/またはファームウェアを備えてもよい。
電荷蓄積トランジスタを製作する例示的構造および方法を説明してきた。特定の実施形態を説明してきたが、これらの実施形態にさまざまな修正および変更を行うことができることは明らかである。したがって、本明細書および図面は、限定的ではなく、例示的とみなされる。
開示内容の要約は、米国特許法施行規則(37C.F.R.§1.72(b)に適合しており、同規則によって、要約は、読み手が技術的開示の本質を素早く確認することができるものであることが必要とされるものである。要約は、特許請求の範囲を解釈または制限するのに使用されないという了解の下で提出される。さらに、前述の詳細な説明では、開示の合理化のためにさまざまな特徴を単一の実施例にまとめて集めてあることが分かるであろう。開示のこの方法は、特許請求の範囲を制限するものと解釈されるべきものではない。したがって、以下の特許請求の範囲は、本明細書によって詳細説明に組み込まれ、特許請求の範囲のそれぞれが個別の実施例としてそれぞれに基づくものである。

Claims (30)

  1. 半導体材料の層および誘電体の層の中に開口部を形成することと、
    一部分が前記層内の残りの半導体材料とは異なってドープされるように、前記開口部によって露出される半導体材料の前記層の前記一部分を処理することと、
    半導体材料の前記層の前記異なってドープされる一部分が電荷蓄積構造を備える、前記層内の残りの半導体材料の少なくとも実質的に全てを除去することと、
    前記電荷蓄積構造の第1の表面上にトンネリング誘電体を形成することと、
    前記電荷蓄積構造の第2の表面上にインターゲート誘電体を形成することと、を含む、方法。
  2. 半導体材料の層および誘電体の層の中に開口部を形成することが、ポリシリコンおよび誘電体の交互層内に前記開口部を形成することを含み、一部分が前記層内の残りのポリシリコンとは異なってドープされるように、前記開口部によって露出されるポリシリコンの前記層の前記一部分を処理することが、各一部分が前記層内の残りのポリシリコンとは異なってドープされるように、前記開口部によって露出されるポリシリコンの前記層のそれぞれの前記各一部分を処理することを含む、請求項1の方法。
  3. 一部分が前記層内の残りの半導体材料とは異なってドープされるように、前記開口部によって露出される半導体材料の前記層の前記一部分を処理することが、ポリシリコンの層の一部分をドープすることを含み、前記層の残りのポリシリコンが、ドープされていないポリシリコンを含む、請求項1に記載の方法。
  4. ポリシリコンの前記層の前記一部分をドープすることが、P型ドーパントを前記開口部を通して前記開口部によって露出されるポリシリコンの前記一部分に添加することを含む、請求項3に記載の方法。
  5. ポリシリコンの前記層の前記一部分をドープすることが、前記開口部内にP型ポリシリコンプラグを形成することと、前記プラグから前記一部分にP型ドーパントを拡散することと、を含む、請求項3に記載の方法。
  6. ポリシリコンの前記層の前記一部分をドープすることが、ホウ素を埋め込むことを含む、請求項3に記載の方法。
  7. 開口部を形成することが、空孔を形成することを含む、請求項1に記載の方法。
  8. それぞれの電荷蓄積構造が、半導体構造の垂直な開口部を少なくとも部分的に包囲し、それぞれの電荷蓄積構造が、第1の誘電体によって隣接した電荷蓄積構造から分離される、複数の電荷蓄積構造を形成することと、
    前記開口部内のそれぞれの電荷蓄積構造上に第2の誘電体を形成することと、
    前記第2の誘電体が前記電荷蓄積構造を前記シリコンから分離する、前記垂直な開口部内の前記第2の誘電体上にシリコンを形成することと、
    それぞれの電荷蓄積構造上に第3の誘電体を形成することと、
    を含む、方法。
  9. それぞれの第3の誘電体上に金属ゲートを形成することをさらに含む、請求項8に記載の方法。
  10. 前記開口部が、実質的に正方形、楕円形、または円形形状を有する、請求項8に記載の方法。
  11. シリコンを形成することが、約3ナノメートル〜約15ナノメートルの厚さのシリコン膜を前記垂直な開口部内に形成することを含む、請求項8に記載の方法。
  12. 第2の誘電体を形成することが、二酸化ケイ素または窒化ケイ素を形成し、
    第3の誘電体を形成することが、二酸化ケイ素および窒化ケイ素のうちの1つ以上を形成する、請求項8に記載の方法。
  13. 半導体構造がドープされていないポリシリコンおよび誘電体の交互層を備える、前記半導体構造内に開口部を形成することと、
    前記開口部を少なくとも部分的に包囲するドープされていないポリシリコンの前記層の各一部分にドーパントを添加することと、
    前記層の残りのドープされていないポリシリコンの少なくとも実質的に全てを除去することと、
    ドープされたポリシリコンの前記一部分のそれぞれの対向する表面上に誘電体を形成することと、
    を含む、方法。
  14. 前記開口部内にシリコン膜を形成することをさらに含む、請求項13に記載の方法。
  15. ドープされたポリシリコンの前記一部分のそれぞれに隣接する導電ゲートを形成することをさらに含む、請求項13に記載の方法。
  16. シリコン膜と、
    第1の位置で前記シリコン膜を少なくとも部分的に包囲する第1の電荷蓄積構造と、
    第2の位置で前記シリコン膜を少なくとも部分的に包囲する第2の電荷蓄積構造と、
    前記第1の電荷蓄積構造と前記シリコン膜との間、および前記第2の電荷蓄積構造と前記シリコン膜との間に誘電体と、
    を備える、装置。
  17. シリコン膜が、NANDストリングの複数の電荷蓄積トランジスタにチャネルを提供するために、約3ナノメートル〜約15ナノメートルの厚さであり、前記電荷蓄積トランジスタのうちの第1の1つが、前記第1の電荷蓄積構造と、第1のワード線の少なくとも一部分と、を備え、前記電荷蓄積トランジスタのうちの第2の1つが、前記第2の電荷蓄積構造と、第2のワード線の少なくとも一部分と、を備える、請求項16に記載の装置。
  18. 前記第1の電荷蓄積構造を少なくとも部分的に包囲する導電性ワード線をさらに備える、請求項16に記載の装置。
  19. 前記第1の電荷蓄積構造と前記ワード線との間にIGDをさらに備える、請求項18に記載の装置。
  20. 前記誘電体が、トンネリング誘電体を備える、請求項16に記載の装置。
  21. 前記シリコン膜の横断面がU字形である、請求項16に記載の装置。
  22. 前記第1の電荷蓄積構造と前記第2の電荷蓄積構造との間に分離誘電体をさらに備える、請求項20に記載の装置。
  23. 前記第1の電荷蓄積構造が、前記第1の位置の前記シリコン膜の周囲にドープされたポリシリコンの環を備える、請求項16に記載の装置。
  24. 前記誘電体がトンネリング誘電体を備え、前記ドープされたポリシリコンの環を少なくとも部分的に包囲するインターゲート誘電体(IGD)をさらに備える、請求項23に記載の装置。
  25. 前記ドープされたポリシリコンの環を少なくとも部分的に包囲するワード線をさらに備え、前記IGDが、前記ワード線と前記ドープされたポリシリコンの環との間にある、請求項24に記載の装置。
  26. 前記垂直な開口部内にシリコンを形成することが、U字形パイプ内にシリコンを形成することを含み、前記U字形パイプの一部分が前記垂直な開口部を備える、請求項8に記載の方法。
  27. 開口部を通って一対の第1の誘電体内に延在するシリコン膜と、
    前記一対の第1の誘電体間の前記シリコン膜を少なくとも部分的に包囲するポリシリコンゲートと、
    前記ポリシリコンゲートと前記シリコン膜との間の第2の誘電体と、
    前記ポリシリコンゲートと制御ゲートとの間の第3の誘電体と、
    を備える、装置。
  28. 前記一対の第1の誘電体が、二酸化ケイ素の一対の層を備え、
    前記第2の誘電体が、二酸化ケイ素または窒化ケイ素を含み、
    前記第3の誘電体が、二酸化ケイ素、窒化ケイ素、および二酸化ケイ素を含む、請求項27に記載の装置。
  29. 前記シリコン膜が、約3ナノメートル〜約15ナノメートルの厚さである、請求項27に記載の装置。
  30. 前記ポリシリコンゲートが、P型ポリシリコン、N型ポリシリコン、またはドープされていないポリシリコンを備える、請求項27に記載の装置。
JP2013555567A 2011-02-25 2012-02-23 電荷蓄積装置、システム、および方法 Active JP5877210B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/035,700 2011-02-25
US13/035,700 US8759895B2 (en) 2011-02-25 2011-02-25 Semiconductor charge storage apparatus and methods
PCT/US2012/026358 WO2012116207A2 (en) 2011-02-25 2012-02-23 Charge storage apparatus, systems and methods

Publications (3)

Publication Number Publication Date
JP2014509454A true JP2014509454A (ja) 2014-04-17
JP2014509454A5 JP2014509454A5 (ja) 2015-04-09
JP5877210B2 JP5877210B2 (ja) 2016-03-02

Family

ID=46718390

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013555567A Active JP5877210B2 (ja) 2011-02-25 2012-02-23 電荷蓄積装置、システム、および方法

Country Status (7)

Country Link
US (6) US8759895B2 (ja)
EP (1) EP2678882B1 (ja)
JP (1) JP5877210B2 (ja)
KR (1) KR101571944B1 (ja)
CN (1) CN103403861B (ja)
TW (1) TWI515802B (ja)
WO (1) WO2012116207A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016139725A1 (ja) * 2015-03-02 2016-09-09 株式会社 東芝 半導体記憶装置及びその製造方法
WO2016194211A1 (ja) * 2015-06-04 2016-12-08 株式会社 東芝 半導体記憶装置及びその製造方法
JP2016541111A (ja) * 2013-12-17 2016-12-28 インテル・コーポレーション 金属浮遊ゲート複合3次元nandメモリデバイスおよび関連する方法

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8803214B2 (en) 2010-06-28 2014-08-12 Micron Technology, Inc. Three dimensional memory and methods of forming the same
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US8759895B2 (en) 2011-02-25 2014-06-24 Micron Technology, Inc. Semiconductor charge storage apparatus and methods
KR101794017B1 (ko) * 2011-05-12 2017-11-06 삼성전자 주식회사 비휘발성 메모리 장치 및 그 제조 방법
US9178077B2 (en) 2012-11-13 2015-11-03 Micron Technology, Inc. Semiconductor constructions
US8778762B2 (en) 2012-12-07 2014-07-15 Micron Technology, Inc. Methods of forming vertically-stacked structures, and methods of forming vertically-stacked memory cells
US9105737B2 (en) 2013-01-07 2015-08-11 Micron Technology, Inc. Semiconductor constructions
US8853769B2 (en) 2013-01-10 2014-10-07 Micron Technology, Inc. Transistors and semiconductor constructions
US8946807B2 (en) * 2013-01-24 2015-02-03 Micron Technology, Inc. 3D memory
US9064970B2 (en) 2013-03-15 2015-06-23 Micron Technology, Inc. Memory including blocking dielectric in etch stop tier
US9184175B2 (en) 2013-03-15 2015-11-10 Micron Technology, Inc. Floating gate memory cells in vertical memory
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US9159845B2 (en) 2013-05-15 2015-10-13 Micron Technology, Inc. Charge-retaining transistor, array of memory cells, and methods of forming a charge-retaining transistor
US9728584B2 (en) * 2013-06-11 2017-08-08 Micron Technology, Inc. Three dimensional memory array with select device
US9275909B2 (en) 2013-08-12 2016-03-01 Micron Technology, Inc. Methods of fabricating semiconductor structures
US9437604B2 (en) 2013-11-01 2016-09-06 Micron Technology, Inc. Methods and apparatuses having strings of memory cells including a metal source
US9136278B2 (en) 2013-11-18 2015-09-15 Micron Technology, Inc. Methods of forming vertically-stacked memory cells
KR102128465B1 (ko) 2014-01-03 2020-07-09 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
US20150194321A1 (en) * 2014-01-09 2015-07-09 Micron Technology, Inc. Methods of Processing Polysilicon-Comprising Compositions
US9171862B2 (en) * 2014-01-24 2015-10-27 Macronix International Co., Ltd. Three-dimensional memory and method of forming the same
CN104810326B (zh) * 2014-01-28 2017-09-08 旺宏电子股份有限公司 三维存储器及其制造方法
CN103904035B (zh) * 2014-03-05 2016-09-21 清华大学 Tcat结构及其形成方法
CN103904034A (zh) * 2014-03-05 2014-07-02 清华大学 P-BiCS结构及其形成方法
US9917096B2 (en) * 2014-09-10 2018-03-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
CN104269406B (zh) * 2014-09-16 2017-04-19 华中科技大学 一种芯壳型纳米线三维nand闪存器件及其制备方法
US9741569B2 (en) * 2014-12-16 2017-08-22 Macronix International Co., Ltd. Forming memory using doped oxide
WO2016135849A1 (ja) * 2015-02-24 2016-09-01 株式会社 東芝 半導体記憶装置及びその製造方法
CN107534045B (zh) 2015-03-17 2021-03-30 美光科技公司 替换控制栅极的方法及设备
US9608000B2 (en) 2015-05-27 2017-03-28 Micron Technology, Inc. Devices and methods including an etch stop protection material
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
JP2017163044A (ja) 2016-03-10 2017-09-14 東芝メモリ株式会社 半導体装置およびその製造方法
KR102456494B1 (ko) * 2016-03-29 2022-10-20 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR102626838B1 (ko) 2016-06-20 2024-01-18 삼성전자주식회사 수직형 비휘발성 메모리 소자 및 그 제조방법
US9673216B1 (en) * 2016-07-18 2017-06-06 Sandisk Technologies Llc Method of forming memory cell film
US10559752B2 (en) * 2016-12-05 2020-02-11 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10707121B2 (en) * 2016-12-31 2020-07-07 Intel Corporatino Solid state memory device, and manufacturing method thereof
US10217755B2 (en) * 2017-04-01 2019-02-26 Intel Corporation Flash memory cells, components, and methods
US10141221B1 (en) * 2017-07-18 2018-11-27 Macronix International Co., Ltd. Method for manufacturing three dimensional stacked semiconductor structure and structure manufactured by the same
US11282845B2 (en) * 2017-08-24 2022-03-22 Micron Technology, Inc. Semiconductor devices comprising carbon-doped silicon nitride and related methods
US10777566B2 (en) * 2017-11-10 2020-09-15 Macronix International Co., Ltd. 3D array arranged for memory and in-memory sum-of-products operations
US10355014B1 (en) * 2017-12-22 2019-07-16 Micron Technology, Inc. Assemblies having vertically-extending structures
US10957392B2 (en) 2018-01-17 2021-03-23 Macronix International Co., Ltd. 2D and 3D sum-of-products array for neuromorphic computing system
US10719296B2 (en) 2018-01-17 2020-07-21 Macronix International Co., Ltd. Sum-of-products accelerator array
US11138497B2 (en) 2018-07-17 2021-10-05 Macronix International Co., Ltd In-memory computing devices for neural networks
CN112956030A (zh) 2018-10-09 2021-06-11 美光科技公司 包含具有增加阈值电压的晶体管的半导体装置及其相关方法与系统
US11636325B2 (en) 2018-10-24 2023-04-25 Macronix International Co., Ltd. In-memory data pooling for machine learning
US11562229B2 (en) 2018-11-30 2023-01-24 Macronix International Co., Ltd. Convolution accelerator using in-memory computation
US11934480B2 (en) 2018-12-18 2024-03-19 Macronix International Co., Ltd. NAND block architecture for in-memory multiply-and-accumulate operations
US11119674B2 (en) 2019-02-19 2021-09-14 Macronix International Co., Ltd. Memory devices and methods for operating the same
US10783963B1 (en) 2019-03-08 2020-09-22 Macronix International Co., Ltd. In-memory computation device with inter-page and intra-page data circuits
US11132176B2 (en) 2019-03-20 2021-09-28 Macronix International Co., Ltd. Non-volatile computing method in flash memory
US10777576B1 (en) * 2019-04-03 2020-09-15 Micron Technology, Inc. Integrated assemblies having charge-trapping material arranged in vertically-spaced segments, and methods of forming integrated assemblies
US10910393B2 (en) 2019-04-25 2021-02-02 Macronix International Co., Ltd. 3D NOR memory having vertical source and drain structures
US11244954B2 (en) 2019-08-22 2022-02-08 Micron Technology, Inc. Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies
CN111063683B (zh) * 2019-12-06 2022-08-30 中国科学院微电子研究所 具有u形沟道的半导体装置及包括其的电子设备
US11621273B2 (en) 2020-05-13 2023-04-04 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US20210391354A1 (en) * 2020-06-15 2021-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device
US11935956B2 (en) * 2020-06-26 2024-03-19 Intel Corporation TMD inverted nanowire integration
US11417683B2 (en) 2020-10-22 2022-08-16 Macronix International Co., Ltd. Flash memory and method of fabricating the same
TWI745132B (zh) * 2020-10-22 2021-11-01 旺宏電子股份有限公司 快閃記憶體
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
CN112885837A (zh) * 2021-03-22 2021-06-01 长江存储科技有限责任公司 三维存储器和制备三维存储器的方法
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations
WO2024039982A2 (en) * 2022-08-17 2024-02-22 NEO Semiconductor, Inc. 3d memory cells and array architectures

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006132158A1 (ja) * 2005-06-10 2006-12-14 Sharp Kabushiki Kaisha 不揮発性半導体記憶装置およびその製造方法
US20080048237A1 (en) * 2006-07-26 2008-02-28 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2009117843A (ja) * 2007-11-08 2009-05-28 Samsung Electronics Co Ltd 垂直型半導体素子及びその製造方法
JP2009158775A (ja) * 2007-12-27 2009-07-16 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2010147125A (ja) * 2008-12-17 2010-07-01 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010171185A (ja) * 2009-01-22 2010-08-05 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2010225946A (ja) * 2009-03-24 2010-10-07 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2011035228A (ja) * 2009-08-04 2011-02-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3651689B2 (ja) 1993-05-28 2005-05-25 株式会社東芝 Nand型不揮発性半導体記憶装置及びその製造方法
US5352619A (en) * 1993-07-22 1994-10-04 United Microelectronics Corporation Method for improving erase characteristics and coupling ratios of buried bit line flash EPROM devices
EP0755540B1 (en) 1994-04-13 2003-04-09 Ericsson Inc. Efficient addressing of large memories
JP2002176114A (ja) 2000-09-26 2002-06-21 Toshiba Corp 半導体装置及びその製造方法
EP1271652A3 (en) 2001-06-22 2004-05-06 Fujio Masuoka A semiconductor memory and its production process
US6753224B1 (en) * 2002-12-19 2004-06-22 Taiwan Semiconductor Manufacturing Company Layer of high-k inter-poly dielectric
JP2005038909A (ja) * 2003-07-15 2005-02-10 Fujio Masuoka 不揮発性メモリ素子の駆動方法、半導体記憶装置及びそれを備えてなる液晶表示装置
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US20050283743A1 (en) 2004-06-07 2005-12-22 Mulholland Philip J Method for generating hardware information
US20060277355A1 (en) 2005-06-01 2006-12-07 Mark Ellsberry Capacity-expanding memory device
US7636881B2 (en) 2005-06-30 2009-12-22 International Business Machines Corporation Displaying a portal with render-when-ready portlets
US7462550B2 (en) 2005-10-24 2008-12-09 Semiconductor Components Industries, L.L.C. Method of forming a trench semiconductor device and structure therefor
US7409491B2 (en) 2005-12-14 2008-08-05 Sun Microsystems, Inc. System memory board subsystem using DRAM with stacked dedicated high speed point to point links
KR100707217B1 (ko) 2006-05-26 2007-04-13 삼성전자주식회사 리세스-타입 제어 게이트 전극을 구비하는 반도체 메모리소자 및 그 제조 방법
US7642160B2 (en) 2006-12-21 2010-01-05 Sandisk Corporation Method of forming a flash NAND memory cell array with charge storage elements positioned in trenches
JP2008160004A (ja) 2006-12-26 2008-07-10 Toshiba Corp 半導体記憶装置及びその製造方法
JP5118347B2 (ja) 2007-01-05 2013-01-16 株式会社東芝 半導体装置
JP4939955B2 (ja) 2007-01-26 2012-05-30 株式会社東芝 不揮発性半導体記憶装置
KR100866966B1 (ko) 2007-05-10 2008-11-06 삼성전자주식회사 비휘발성 메모리 소자, 그 제조 방법 및 반도체 패키지
SG148901A1 (en) 2007-07-09 2009-01-29 Micron Technology Inc Packaged semiconductor assemblies and methods for manufacturing such assemblies
JP4957500B2 (ja) 2007-10-12 2012-06-20 日本電気株式会社 文字列照合回路
KR20090037690A (ko) 2007-10-12 2009-04-16 삼성전자주식회사 비휘발성 메모리 소자, 그 동작 방법 및 그 제조 방법
US8198667B2 (en) 2007-12-27 2012-06-12 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP5086851B2 (ja) 2008-03-14 2012-11-28 株式会社東芝 不揮発性半導体記憶装置
JP5072696B2 (ja) 2008-04-23 2012-11-14 株式会社東芝 三次元積層不揮発性半導体メモリ
JP5283960B2 (ja) 2008-04-23 2013-09-04 株式会社東芝 三次元積層不揮発性半導体メモリ
JP2009277770A (ja) 2008-05-13 2009-11-26 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP5230274B2 (ja) 2008-06-02 2013-07-10 株式会社東芝 不揮発性半導体記憶装置
US7732891B2 (en) 2008-06-03 2010-06-08 Kabushiki Kaisha Toshiba Semiconductor device
KR20100001260A (ko) 2008-06-26 2010-01-06 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
KR101052921B1 (ko) * 2008-07-07 2011-07-29 주식회사 하이닉스반도체 버티컬 플로팅 게이트를 구비하는 플래시 메모리소자의제조방법
JP5321589B2 (ja) 2008-08-13 2013-10-23 日本電気株式会社 有限オートマトン生成装置、パターンマッチング装置、有限オートマトン回路生成方法およびプログラム
KR101498676B1 (ko) 2008-09-30 2015-03-09 삼성전자주식회사 3차원 반도체 장치
JP5193796B2 (ja) 2008-10-21 2013-05-08 株式会社東芝 3次元積層型不揮発性半導体メモリ
KR101495803B1 (ko) 2008-11-12 2015-02-26 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법 및 이에 따라 제조된 비휘발성 메모리 장치
US8148763B2 (en) 2008-11-25 2012-04-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices
US7878507B1 (en) 2009-02-09 2011-02-01 John Joseph Dimond Spatial game apparatus
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
JP2011009409A (ja) 2009-06-25 2011-01-13 Toshiba Corp 不揮発性半導体記憶装置
US8508997B2 (en) 2009-12-23 2013-08-13 Intel Corporation Multi-cell vertical memory nodes
US8803214B2 (en) 2010-06-28 2014-08-12 Micron Technology, Inc. Three dimensional memory and methods of forming the same
US8193054B2 (en) * 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8237213B2 (en) 2010-07-15 2012-08-07 Micron Technology, Inc. Memory arrays having substantially vertical, adjacent semiconductor structures and the formation thereof
US8759895B2 (en) 2011-02-25 2014-06-24 Micron Technology, Inc. Semiconductor charge storage apparatus and methods
KR20130046700A (ko) 2011-10-28 2013-05-08 삼성전자주식회사 3차원적으로 배열된 메모리 요소들을 구비하는 반도체 장치

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006132158A1 (ja) * 2005-06-10 2006-12-14 Sharp Kabushiki Kaisha 不揮発性半導体記憶装置およびその製造方法
US20080048237A1 (en) * 2006-07-26 2008-02-28 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2009117843A (ja) * 2007-11-08 2009-05-28 Samsung Electronics Co Ltd 垂直型半導体素子及びその製造方法
JP2009158775A (ja) * 2007-12-27 2009-07-16 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2010147125A (ja) * 2008-12-17 2010-07-01 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010171185A (ja) * 2009-01-22 2010-08-05 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2010225946A (ja) * 2009-03-24 2010-10-07 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2011035228A (ja) * 2009-08-04 2011-02-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016541111A (ja) * 2013-12-17 2016-12-28 インテル・コーポレーション 金属浮遊ゲート複合3次元nandメモリデバイスおよび関連する方法
US10141322B2 (en) 2013-12-17 2018-11-27 Intel Corporation Metal floating gate composite 3D NAND memory devices and associated methods
WO2016139725A1 (ja) * 2015-03-02 2016-09-09 株式会社 東芝 半導体記憶装置及びその製造方法
US11257832B2 (en) 2015-03-02 2022-02-22 Kioxia Corporation Semiconductor memory device and method for manufacturing same
WO2016194211A1 (ja) * 2015-06-04 2016-12-08 株式会社 東芝 半導体記憶装置及びその製造方法
US11018148B2 (en) 2015-06-04 2021-05-25 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same

Also Published As

Publication number Publication date
CN103403861B (zh) 2017-05-17
CN103403861A (zh) 2013-11-20
US20230269937A1 (en) 2023-08-24
EP2678882B1 (en) 2020-08-05
WO2012116207A2 (en) 2012-08-30
US9754953B2 (en) 2017-09-05
US20200303391A1 (en) 2020-09-24
TWI515802B (zh) 2016-01-01
US20160118392A1 (en) 2016-04-28
EP2678882A4 (en) 2017-01-04
JP5877210B2 (ja) 2016-03-02
EP2678882A2 (en) 2014-01-01
WO2012116207A3 (en) 2012-12-06
US20140302650A1 (en) 2014-10-09
US20170365614A1 (en) 2017-12-21
US11581324B2 (en) 2023-02-14
TW201246396A (en) 2012-11-16
US10586802B2 (en) 2020-03-10
US8759895B2 (en) 2014-06-24
US9231117B2 (en) 2016-01-05
KR101571944B1 (ko) 2015-11-25
US20120217564A1 (en) 2012-08-30
KR20140016301A (ko) 2014-02-07

Similar Documents

Publication Publication Date Title
JP5877210B2 (ja) 電荷蓄積装置、システム、および方法
US9147691B2 (en) Multi-tiered semiconductor devices and associated methods
US9853048B2 (en) Memory device and method of manufacturing the same
US8258034B2 (en) Charge-trap based memory
US7179717B2 (en) Methods of forming integrated circuit devices
US8883611B2 (en) Methods of fabricating semiconductor devices having air gaps in dielectric layers
KR20190104430A (ko) Nand 메모리 어레이들 및 nand 메모리 어레이들을 형성하는 방법
CN107004598B (zh) 过孔阻挡层
US9397210B2 (en) Forming air gaps in memory arrays and memory arrays with air gaps thus formed
US8357970B2 (en) Multi-level charge storage transistors and associated methods
US20160343725A1 (en) Memory Devices Including Blocking Layers
US20160086943A1 (en) Semiconductor device and method for manufacturing semiconductor device
US8697519B2 (en) Method of manufacturing a semiconductor device which includes forming a silicon layer without void and cutting on a silicon monolayer
US20210143100A1 (en) Integrated word line contact structures in three-dimensional (3d) memory array
US9406784B1 (en) Method of manufacturing isolation structure and non-volatile memory with the isolation structure

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150210

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150210

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20150210

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20150313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150615

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150615

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20151210

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151210

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20151217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160125

R150 Certificate of patent or registration of utility model

Ref document number: 5877210

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250