JP2014051738A - プラズマ生成を安定化させる方法 - Google Patents

プラズマ生成を安定化させる方法 Download PDF

Info

Publication number
JP2014051738A
JP2014051738A JP2013178344A JP2013178344A JP2014051738A JP 2014051738 A JP2014051738 A JP 2014051738A JP 2013178344 A JP2013178344 A JP 2013178344A JP 2013178344 A JP2013178344 A JP 2013178344A JP 2014051738 A JP2014051738 A JP 2014051738A
Authority
JP
Japan
Prior art keywords
spike
power
plasma generation
plasma
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013178344A
Other languages
English (en)
Other versions
JP6404549B2 (ja
Inventor
Tatsu Nakano
竜 中野
Tsutomu Makino
勉 牧野
Hisashi Takamizawa
寿 高見澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2014051738A publication Critical patent/JP2014051738A/ja
Application granted granted Critical
Publication of JP6404549B2 publication Critical patent/JP6404549B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 連続プロセスでプラズマ生成を安定化させる方法を提供する。
【解決手段】 連続プロセスでプラズマ生成を安定化させる方法は、上部電極と基板が置かれる下部電極との間にRF電力のスパイクを印加する工程(スパイクはゼロ電力から始まって、スパイク電力へジャンプし、次にプラズマ生成不良となる程度に低いベース電力へと低下する)と、上部電極と下部電極との間に、ベース電力のRF電力を、基板を処理するためにスパイクの期間より長い期間、連続して印加する連続印加工程と含み、スパイクは、プラズマ生成不良を減らすものである。
【選択図】図5

Description

本発明は、一般的にプラズマ励起原子層堆積(PEALD)や他のプラズマ励起堆積などにより、プラズマで基板を処理する方法に関して、プラズマを安定して生じさせる方法に関するものである。
PEALDプロセスでは、例えば、RF電力は膜質を制御する重要なパラメータである。高RF電力は膜質を改善することができるが、いくつかの適用例では、極めて低いRF電力が必要とされることがある。以下の三つの適用例は典型例である。
図1Aは、固体拡散(SSD)のためのホウケイ酸ガラス(BSG)プロセスの概略図である。この処理において低RF電力が必要な理由は、高RF電力が印加された場合では、Si基板へドーパントの注入がイオン衝撃により膜形成段階で生じてしまうからである。図1Aに図示されているように、BSG膜1が基板3上に形成されるとき、ホウ素が基板3に浸透し、基板3にホウ素拡散層2が形成される。成膜後にドーパントを基板拡散させたくない部分のBSG膜を除去し、その後熱処理を行うことにより不純物拡散を基板面内の所望の部位のみに行うことが出来る。しかし成膜中に拡散が生じてしまうと望まない部分にも不純物が拡散された状態となってしまう。リンケイ酸塩ガラス(PSG)処理にもまた、高RF電力が印加されるとき、上記と同様の問題がある。
図1Bは、下地層の酸化が望まれないSiOプロセスの概略図である。このプロセスで低RF電力が望ましい理由は、高RF電力が印加されると、下地層の物質が酸素プラズマ、イオン衝撃及び/又はスパッタリングにより、膜形成段階で酸化されるからである。図1Bに示されているように、SiO膜4がタングステン(W)層6の上で形成されるとき、W層6は酸化され、W層6に酸化層5が形成される。下地層がWやTiN、又はSiNのような膜を含む場合で、さらにPEALD−SiO膜がその上に堆積されている場合、下地層の物質がPEALD−SiO膜を形成するための酸素を含むプラズマにより酸化される。下地材料が酸化した場合、所望のデバイス特性が得られなくなってしまう。
図1Cは、フォトレジスト上の膜形成プロセスを示す概略図である。低RF電力が本プロセスで必要とされる理由は、高RF電力が印加されると、フォトレジストが酸素プラズマ、イオン衝撃及び/又はスパッタリングにより膜形成段階で酸化、又はエッチングされてしまうためである。図1Cに示されているとおり、SiO膜7が下地層9の上に形成されたフォトレジスト8上に形成されるとき、フォトレジスト8は酸化又はエッチングされ、フォトレジスト8の寸法が減少する。ダブルパターニングプロセススキームでは、フォトレジスト上にPEALD−酸化膜を堆積するとき、PEALD−酸化膜を形成するための酸素を含むプラズマが、下に位置するフォトレジストを酸化、エッチングし、またスパッタリングによりフォトレジストを縮小する。その結果、望ましい寸法をもつマスクパターンを得ることができない。
一方、低RF電力設定も問題を引き起こす。RF電力が低と、RF伝達回路の電力損失による影響、高周波整合機の整合点ズレへの敏感さ、反応チャンバ中の雰囲気の変化(例えば、内部堆積膜の厚さの変化による)等の影響を受け、時々、電極間電圧が放電開始電圧に達せず、プラズマ生成不良を引き起こしたり、プラズマ生成時間が短くなってしまうことが生じる。その結果、意図した処理結果(例えば、膜の厚さ又は均一性)を得ることができない。
この開示において、従来技術とその解決手段に関する議論は、本発明の内容を説明するために行ったものであって、この議論が本発明時に公知となっていたことを意味するものではない。
いくつかの実施形態は、基板上に行われる連続プロセスでのプラズマ生成を安定化させるための方法を提供し、該方法は、(i)上部電極と基板が配置される下部の電極との間にRF電力のスパイクを印加する工程(ここでスパイクはゼロ電力から始まってスパイク電力にジャンプし、そして、プラズマ生成困難となる程度に低いベース電力に落ちるものである。)と、(ii)基板を処理するために、スパイクの期間よりも実質的に長い期間の間、上部電極と下部電極との間にベース電力にてRF電力を印加する工程とを含み、ここでスパイクは生成不良を減らすものである。
いくつかの実施形態では、ベース電力は放電開始電圧の近傍に設定される。いくつかの実施形態では、プラズマが生成した後、スパイクは終了するが、そのスパイクの終了は、スパイクのないベース電力で処理される膜と比較して、スパイクが基板上の膜に実質的な変化を引き起こす前になされる。
RF電力のスパイクの印加により、膜に実質的な変化を引き起こすことなく、プラズマ生成不良を著しく減らすることができる。
本発明の態様および従来技術を超えて達成される利点を要約する目的のために、本発明のある目的及び利点が本開示で説明される。もちろん、このような目的や利点のすべてが必ずしも本発明に係る任意の具体的な実施形態に従って達成されるわけではないことは理解されるであろう。従って、例えば、本明細書で教示し又は示唆する他の目的、利点を必ずしも達成することなく、本明細書で教示する一つの利点又は一群の利点を達成する又は最適にする方法で本発明を実施または実行することができることを、当業者は認めるであろう。
本発明のさらなる態様、特徴及び利点は、以下の詳細な説明により明らかになろう。
本発明のそれらの特徴または他の特徴は、本発明の図示を意図し、限定を意図しない好適実施形態の図面を参照して説明される。図面は説明の目的で非常に単純化され、尺度も必ずしも一致しない。
図1Aは、固体拡散(SSD)のためのホウケイ酸ガラス(BSG)プロセスの概略図である。 図1Bは、下地層の酸化が望ましくないSiOプロセスの概略図である。 図1Cは、フォトレジスト上での膜形成プロセスの概略図である。 図2Aは、基板を処理するために電極に印加されるRF電力の従来のパターンを示す。 図2Bは、本発明の実施形態に従った、基板を処理するために電極に印加されるRF電力のパターンを示す。 図3は、ホウケイ酸ガラス(BSG)がPEALDによってSi基板上に堆積されたとき、Si基板に拡散したホウ素の濃度を示す二次イオン質量分析(SIMS)の結果を表すグラフである。 図4は、プラズマ励起原子層堆積(PEALD)のための装置の概略図を示し、本発明の実施形態に従ったプラズマ生成を示す変数も併せて示す。 図5A(通常のプラズマ生成)、図5B(プラズマ生成不良)及び図5C(スパイクを伴ったプラズマ生成)は、本発明の実施形態に従ったプラズマモニタリング(プラズマモニター)と印加されたRF進行電力(Fwd)の出力を示すグラフである。 図6(通常のプラズマ生成)、図6B(プラズマ生成不良)及び図6C(スパイクを伴ったプラズマ生成)は、本発明の実施形態に従って印加されたRF進行電力(Fwd)に応答するVdc及びVppの出力を示すグラフである。 図7は、本発明の実施形態に従ったRF電力の印加のタイミングチャートである。 図8は、本発明の実施形態に従ったRF電力の印加の改善されたタイミングチャートである。 図9は、本発明の実施形態に従ってRF電源を制御する制御スキームを略示する。 図10は、本発明の実施形態に従ったスパイクRFモードのシーケンスを示すフローチャートである。 図11は本発明の実施形態に従ったスパイクRFモードのシーケンスの一部を示すフローチャートである。
この開示では、“ガス”は、気化した固体及び/又は液体を含んでもよく、一つのガス又はガスの混合物によって構成されてもよい。この開示において、プリカーサ、反応ガス、他の添加ガスは、ガスのタイプに関して、互いに異なっても、或いは相互に相いれないものであってもよく、すなわち、これらのカテゴリーの中で、ガスの重複がない。ある実施形態では、“膜”は、全ターゲット又は当該表面を覆うための、ピンホールのない実質的に厚さ方向に対して垂直な方向に連続して伸長する層、又は単に、ターゲット又は当該表面を覆う層を示す。また、ある実施例では、“層”は、表面または膜と同義のものの上に形成された特定の厚さを有する構造を示す。膜又は層が、特定の特徴をもつ個別膜又は層、又は複数の膜又は層により構成されてもよく、隣接した膜又は層の境界は明りょうであっても、明りょうでなくともよく、物理的、化学的、及び/又は他の特徴、形成プロセス又はシーケンス、及び/又は隣接する膜又は層の機能又は目的に基づいて確立されてもよい。本開示において、「一つの」は、一つの種又は複数の種を含む一つの属に言及したものである。さらに、本開示において、変数のいずれの二つの数も、その変数の実行可能な範囲(該実行可能な範囲はルーティンワークに基づいて決定され得る)を構成する場合があり、示されるいずれの範囲も、端点を含む場合があり除く場合もある。さらに、示される変数のいずれの値も、いくつかの実施形態において、正確な値又は近似の値に言及するものであり、等価なものを含み、平均値、中央値、代表値、過半数等を参照する場合がある。
条件及び/又は構造が特定されない本開示では、当業者は本開示に鑑み、一連の実験の方法として、そのような条件及び/又は構造を容易に提供することができる。
開示された実施形態の全てにおいて、実施形態に使用されるいずれの要素も、意図した目的のために、本明細書において明示的に、必然的に、または本質的に開示される同等のいずれかの要素に置き換えることができる。さらに本発明は、装置及び方法に等しく適用することができる。
本開示において、いくつかの実施形態では、定義されたいずれの意味も、必ずしも通常及び慣例の意味を排除しない。
上述のように、いくつかの実施形態は、基板上に行われる連続プロセスでのプラズマ生成を安定化させるための方法を提供し、該方法は、(i)上部電極と基板が配置される下部の電極との間にRF電力のスパイクを印加する工程(ここでスパイクはゼロ電力から始まってスパイク電力にジャンプし、そして、プラズマ生成不良となる程度に低いベース電力に落ちるものである。)と、(ii)基板を処理するために、スパイクの期間よりも実質的に長い期間の間、上部電極と下部電極との間にベース電力でもってRF電力を印加する工程とを含み、ここでスパイクはプラズマ生成不良を減らすものである。この開示では、“連続的”処理は、RF電力が同じ層を処理するため、同じ層を形成するために、又は物理的に若しくは化学的に同じ反応を行うため印加されるプロセス、又はこれらと同等の意味を示す。さらに、この開示では、“連続的に”とは、真空状態の中断を行わずに、一連の時間の経過の中断を行わずに、RF関連条件を除いた条件の変更を行わずに、二つの構造の間で物理的又は化学的な境界を生じさせずに、または上記と同等の意味を示す。この開示において、“スパイク”はRF電力の鋭い瞬間的な増加、又はRF電力において鋭く上昇し、その後に鋭くまたは階段状に減少するものを示す。スパイクの形状は典型的には棒状でもよいが、尖ったもの、又は階段状のものでもよい。スパイクの期間は、ベース電力が印加される処理の期間よりも実質的に短い。この開示では、“実質的により短い”、“実質的により長い”、“実質的に異なる”等は、重大な違い又は1/100、10/100、50/100、90/100又は実施態様において任意の範囲といった、当業者により認められる違いを示す。
いくつかの実施形態では、ベース電力は放電開始電圧の近傍に設定される。この開示では、“放電開始電圧”は、ガス中の電極間に、自立的放電、即ち外部励起源なしで維持できる放電を生じさせるのに必要な最小の電位を示す。自立的放電は、電極間のギャップで生ずる励起プロセスにより維持される。電圧が放電開始電圧より低いとき、放電は開始されず、その電圧が放電開始電圧であるとき、生成不良が起こるとしても、放電は起こり得る。放電開始電圧の大きさは、ガスの性質及び圧力により、電極の材質、形状及び表面の状況により、さらに電極間のギャップなどにより決定される。当業者であれば、放電が開始するどうかに基づいて、電圧が放電開始電圧より高いかどうかを容易に決定できるとしても、放電開始電圧の正確な値は、それがガスのタイプ、圧力、電極やリアクタの形状などにより影響を受けることから、正確に特定することは容易ではない。その電圧が、放電が開始する放電開始電圧より高いと仮定できるので、この開示では、その電圧は、放電が開始する放電開始電圧より高いと仮定され、生成不良が起こる比率が、例えば、約0%より高い、約20%から約90%、又は約20%から約50%ときに、“放電開始電圧の近傍”にあると仮定される。生成不良が起こる比率とは、RF電力が電極に印加される全回数に対してプラズマが遅れることなく発生する回数の比率として定義される。電極間の電位及びそれらの間に印加されるRF電力はある程度相互に関連し、電極間に印加されるRF電力がゼロから上昇するとき、プラズマはRF電力が放電開始電圧に対応する値に達するときに発生する。いくつかの実施態様では、RF電力が、生成不良が起こる比率が、約0%より高い、約20%から約90%(又は約20%から約50%)であるようなとき、RF電力は“放電開始電圧の近傍に設定されたベース電力”である。スパイク電力はベース電力より大きく、ある実施形態では、スパイク電力は、生成不良が起こる比率が約20%未満、約10%以下又は5%以下であるようなものである。スパイクはゼロ電力から始まって、スパイク電力へジャンプし、そして放電開始電圧の近傍に設定されたベース電力に低下する。
いくつかの実施形態では、ベース電力はプラズマ生成不良が起こる程度に低いものである。いくつかの実施形態では、ベース電力は、下部電極の面積当たり約0.12W/cm未満で、スパイク電力は約0.12W/cmを超える。いくつかの実施形態では、ベース電力は、下部の電極の面積当たり約0.012W/cmを超え、又は0.024W/cm超える。ベース電力が非常に低いと、プラズマはそれが一旦生成されるにせよ消滅してしまう。いくつかの実施形態では、スパイク電力は、下部電極の面積当たり、約0.36W/cm以下、又は0.24W/cm以下である。スパイク電力が短時間、電極の間に印加されると、続くベース電力は、ベース電力が放電開始電圧の近傍に設定されているときでも、生成不良が起こる比率が低いプラズマを維持することができる。いくつかの実施形態では、スパイクを伴わないベース電力の使用を除き、同じく処理される膜と比較して、スパイクが基板上の膜に実質的な変化を生じさせる前に、スパイクは終わる。スパイクの期間があまりに長いと、背景技術で述べたように、高電力による不都合な効果が基板にもたらされる。しかし、その期間が短いならば、スパイクは、不都合な効果をもたらすことなく、プラズマ生成を改善し、生成不良が起こる比率を低下させる。
いくつかの実施形態では、スパイクはプラズマ生成を改善するためにプラズマが生成された後、終了する。しかし、前述のとおり、スパイクの期間は、不都合な効果の発生を妨げるために、短くされる。いくつかの実施形態では、スパイクはスパイクの開始の約50ミリ秒未満、典型的には約20ミリ秒未満以内(例えば、約10ミリ秒から約20ミリ秒)で終了する。いくつかの実施形態では、スパイクはプログラムを使用して制御することができる予め設定された時間の範囲内で終了する。
図2Aは、基板を処理するために電極に印加されるRF電力の従来のパターンを図示し、ここでRF電力は開始のゼロミリ秒から終了となる200ミリ秒まで50Wで一定である。この50Wの電力は、放電開始電圧の近傍の電力に対応する。すなわち、その電力は非常に低く、そのため生成不良が起こる確率が非常に高いものである(例えば、20%以上)。図2Bは、本発明の実施形態に従った、基板を処理する電極に印加されるRF電力のパターンを図示するもので、この場合、開始時にRF電力の大きさは200Wにジャンプし、20ミリ秒後に、RF電力の大きさは50Wに低下しスパイクを構成する。その後、RF電力は200ミリ秒で終了するまで、50Wに維持される。スパイク電力は高く、その結果、プラズマ生成不良が起こる確率は低い(例えば、20%未満)。この実施形態では、50Wはベース力電力であり、200Wはスパイク電力である(すなわち、スパイク電力はベース電力の4倍となっている)。いくつかの実施形態では、スパイク電力は、ベース電力の約1.5倍から10倍となる場合がある。スパイクの期間は非常に短いので、スパイク電力は、スパイクが実施されない場合と比較して基板に重大又は相当なダメージを与えることがない。
典型的に、RF電力の大きさはアナログ信号を使って制御され、RF電力のオン/オフの切り換えはデジタル信号を使って制御される。図7は、本発明の実施形態に従った、RF電力の印加のタイミングチャートで、ここで、RF電力がオンにされると(“RF−ON”)、デジタル信号はトリガーとして使用され、その信号に対するRF電力のアナログ信号が出力される。しかし、もともと、アナログ信号開始時の遅れ(“ASD”)が、スパイク電力(“SP”)に達する前に起こる。さらに、後述のとおり、スパイクの期間は50ミリ秒の倍数にのみ設定できる(例えば、RF電源の制御器(アナログ・デジタルシステム)とモジュール制御器(プロセスモジュール制御器)との間の通信についての交信容量による)。したがって、図7に示されているように、スパイクの期間(又はスパイク時間“ST”)の期間は最低限の50ミリ秒で設定される。スパイクの後、RF電力はベース電力(又は通常のRF電力「RFP」)に維持される。
図9は、本発明の実施例に従ってRF電源(“RFGen”)94を制御する制御スキームの概要を図示する。主要制御ユニット(“UPC”)91(制御器)は、例えば、伝送制御プロトコル(“TCP”)とインターネットプロトコル(“IP”)を使ってプロセスモジュール制御器(“PMC”)92と通信する(プロセスモジュール制御器はRF電源94を制御するためのプロセスモジュールを含む。)。例えば、プロセスモジュール制御器92は、DeviceNet(データ交換のための制御装置を相互接続するためにオートメーション産業で使われているネットワークシステム)を介して、アナログ・デジタルシステム(“ADS”)93と通信する。アナログ・デジタルシステム93(プロセスモジュール制御器92とRF電源94のI/Oインターフェースボードの間に位置する)は、プロセスモジュール制御器92から制御コマンドを受け取り、そのコマンドのデジタル信号をアナログ信号に変換し、ビットシーケンスを個々のデジタル出力ポートへと出力する。アナログ・デジタルシステム93も、RF電源94からのアナログおよびデジタル信号を変換し、それらをプロセスモジュール制御器92に出力する。プロセスモジュール制御器92はすべてのアナログ出力とデジタル出力セッティングをアナログ・デジタルシステム93に50ミリ秒毎に出力し、アナログ・デジタルシステム93はすべてのアナログ入力とデジタル入力の現在値をプロセスモジュール制御器92に50ミリ秒毎に出力する。上記のデータボリュームが非常に大きいので、DeviceNetは、プロセスモジュール制御器92とアナログ・デジタルシステム93との間で、50ミリ秒毎以上に頻繁にデータを送ることができない。RF電力の大きさはアナログ信号を使って制御され、さらにRF電力のオン/オフの切り換えはデジタル信号を使って制御されるので、スパイクが従来の制御システムを使って制御されるならば、図7に図示されているように、スパイクの開始でのアナログ信号の遅れ(ASD)及び50ミリ秒の倍数で設定されるスパイクの期間(ST))は必然的なものである。
しかし、いくつかの実施態様では、アナログ・デジタルシステムボードで、オン/オフの切換信号、RF電力、スパイク電力、スパイク時間及びベース電力をパラメータとして設定することにより、アナログ・デジタルシステムボードは、RF電力オン信号をトリガーとして使用するときに、ミリ秒でスパイクを制御することができる。さらに、RF電力がオフに切り替わったとき、スパイク電力を出力電力として設定することにより、アナログの信号の遅れを避けることができる。図8は、本発明の実施形態に従った上記を表すRF電力印加のタイミングチャートである。この実施形態では、スパイクのタイミングはRF電力のオン/オフ切り換え、並びにスパイク電力(SP)及びスパイク時間(ST)のデジタル信号によって制御される。スパイク電力はゼロを超える(すなわち、ベース電力を超える)設定値(スパイク電力の大きさ)をもち、そして連続プロセスの前(図8において、−50〜0ミリ秒)に始まっていて、連続プロセスでのスパイクの間(ST,図8において、0〜20ミリ秒)も続き、連続プロセスの後(図8において、200〜250ミリ秒)に再開する一方で、連続プロセス中のスパイクの終わりに、ゼロ(つまり、ベース電力)で開始し、連続プロセスの終わりまで(図8において、20〜200ミリ秒)続くパラメータとして使用される。スパイク電力(SP)は、RF電力オンオフ信号及び設定値をもつスパイク時間により規制されるが、パラメータ(タイマーがスパイクの始まりからカウントを開始し、設定時間が終えるまで(図8において0ミリ秒〜20ミリ秒)カウントを続ける)として使用される。図8において、RF電力がオフで、スパイク時間のタイマーが−50ミリ秒から0ミリ秒までの時間の間でまだカウントを開始していない時、スパイク電力の信号は出力される。スパイク電力の信号は出力されるが、RF電力がオフであるので、RF電力の印加はない。RF電力がオンで、スパイク時間のタイマーが0ミリ秒から20ミリ秒までの時間の間カウントしているとき、スパイク電力の信号は出力される。0ミリ秒から20ミリ秒までの時間の間、RF電力がオンであり、さらにスパイク電力が出力されるので、スパイク電力の印加がある。RF電力がオンで、スパイク時間のタイマーが20ミリ秒から200ミリ秒までの時間の間でカウントを終了するとき、スパイク電力の信号の出力はない。つまり、ベース電力が出力される。RF電力がオンであるので、ベース電力の印加はある。RF電力がオフで、スパイク時間のタイマーが200ミリ秒から250ミリ秒の時間の間でカウントを終了しているとき、スパイク電力の信号は出力される。スパイク電力の信号は出力されるが、RF電力がオフとなっているので、RF電力の印加はない。RF電力は、0ミリ秒のときオンであり、スパイク電力の信号はすでに出力されているので、スパイクは発生する。かくして、スパイクの開始のときに、スパイク電力のパラメータ値の変化が除かれることにより、アナログの開始遅れなしで、スパイクは開始する。この実施形態では、スパイクのタイミングは、RF電力のオン/オフの信号、スパイク電力及びスパイク時間によって制御される(スパイク時間は設定値をもち、そしてRF電力がオンとなったときカウントを開始し、その設定値が終わるまでカウントを続けるパラメータとして使用され、またスパイク電力は設定値をもち、そしてRF電力のオンオフ信号及びスパイク時間により規制されるパラメータとして使用される(スパイク電力の信号は、RF電力がオフの間、またはスパイク時間のカウントが行われている間、出力され、スパイク電力の信号は、RF電力がオンではあるがスパイク時間のカウントが停止している間、出力されない。)。
この実施形態において、前述のとおり、RF電力が0ミリ秒でオンとなるとき、スパイク電力のパラメータは、値がゼロから設定される値まで上昇することを無くすために、スパイクに対して設定値を既に有しており、このことにより、アナログ開始遅れが除去される。さらに、設定されたスパイク時間が終わったとき、プロセスモジュール制御器から出力されるスパイクを終了させる信号を待つことなくスパイクは終了し、したがって、スパイクの期間をミリ秒で設定することができる。
図8に図示されるそれのようなスパイク制御は、図10に図示されるフローチャートで示されているように実行されるプログラムを使用して達成することができる。いくつかの実施形態において、パラメータは下記の表1で示されているように定めることができる。
最初に、アナログデジタルシステム(ADS)はデジタル出力及びアナログ出力(DO/AO)信号を、必要ならばDeviceNet(ステップ1)を介してプロセスモジュール制御器(PMC)から取得する。ADS及びPMCは、制限されたデータ伝送能力のために、例えば、50ミリ秒以下毎に互いに通信することができない場合がある。次に、スパイク電力の設定値がゼロであるかどうか(ステップ2)、およびスパイク時間の設定値がゼロであるかどうか(ステップ3)が決定される。どちらの値もゼロでないならば、スパイクRFモードが起動する。そして、RF電力がオンであるかどうか(ステップ4)が決定される。図8に図示されるように、RF電力のオン/オフ切り換えは別に制御される。RF電力が、例えば、−50ミリ秒から0ミリ秒まで、または200ミリ秒から250ミリ秒までの時間の間(図8)オンではない場合、RF−ONのDO信号がオフとなり(ステップ5)、スパイクRFモードの状況は「非動作中」に設定される。つぎに、RF電力がオンである時いつでもスパイクを行えるように、スパイクRF電力(スパイク電力)の値がRF電力の出力として設定される(ステップ7)(RF電力が遅れなしでスパイク電力へとジャンプすることができる。)。その後、全てのDO信号及び全てのAO信号が、ADSからRF電源へ出力され(ステップ8及び9)、RF電源が制御される。ステップ9(ここで、RF電源がデジタル及びアナログ信号を使って操作される。)の後、ステップ1に戻って、上記シーケンスが繰り返される。
ステップ4で、イエスの場合、すなわち、RF電力がオンである場合、RF−ONのDO信号がオンに設定される(ステップ10)。つぎに、スパイクRFモードが「動作中」かどうかが決定される(ステップ11)。ノーである場合、すなわち、スパイクRFモードが「非動作中」である場合、スパイクRFモードが完了しているかどうかが決定される(ステップ12)。ノーである場合、スパイクRF電力モードの状態は、「動作中」に設定され(ステップ13)、スパイク時間タイマーは、例えば、0ミリ秒のときに(図8)カウントを開始する(ステップ14)。スパイクRF電力(スパイク電力)の値が、すでにRF電力の出力として設定されており(ステップ7)、ステップ8及び9がその後に続き、その結果RF電力が遅れなしでスパイクRF電力の設定値へジャンプすることができる。
ステップ12で、イエスの場合、すなわち、スパイクRFモードが、例えば、20ミリ秒から200ミリ秒まで時間の間(図8)、完了している場合、通常のRF電力(ベース電力)の値がRF電力の出力として設定され(ステップ17)、ステップ8と9が続く。
ステップ11で、イエスの場合、すなわち、スパイクRFモードが「動作中」である場合、スパイクRFモード(スパイクRF動作時間)が終わっているかどうかが決定され(ステップ15)、例えば、0ミリ秒から20ミリ秒の時間の間(図8)、また終わっていない場合、スパイクRF電力の設定値が適用されているように、ステップ7、8及び9が実行される。ステップ15で、スパイクRFモードが、例えば、20ミリ秒(図8)で終了している場合、スパイクRFモードの状態は、「完了」に変わる(ステップ16)。次に、通常のRF電力(ベース電力)の値が、RF電力の出力として設定され(ステップ17)、ステップ8及び9が続く。
ステップ2及び3で、スパイク電力又はスパイク時間のいずれかがゼロの場合、図11に図示されているように、通常のRFモードが起動する。つまり、RF電力がオンであるかどかが決定される(ステップ18)。イエスである場合、RF−ONのDO信号がオンに設定され(ステップ19)、通常のRF電力(ベース電力)の値が、RF電力の出力として設定され(ステップ21)、ステップ8及び9が続き、ステップ1へと戻る。ステップ18で、ノーの場合、すなわち、RF電力がオンとなっていない場合、RF−ONのDO信号はオフに設定され(ステップ20)、ステップ21、8及び9が続く。
上記実施形態において、スパイクのタイミングはプログラムされ、スパイクは経過時間に基づいて終了するようにプログラムされる(プラズマが生成された後、スパイクを終了させる時間が設定される。)。当業者であれば、ルーティーンの実験に基づいてプラズマ生成のタイミングを容易に決めることができるだろう。しかし、いくつかの実施形態では、RF電源及び/又はプラズマ光からの信号に基づいてプラズマ生成をモニターすることによって、スパイクは、プラズマ生成が検出されたときに終了する。いくつかの実施形態では、プラズマ生成は、光センサーを使用して、上下の電極の間でプラズマ光をモニターすることによって検出される。いくつかの実施形態では、プラズマ生成は、RF電源や整合機で測定されるピークツーピーク電圧(Vpp)及び/又は自己バイアス電圧(Vdc)をモニターすることによって検出される。
図4は、プラズマ励起原子層堆積(PEALD)のための装置の概略図であり、本発明の実施形態にしたがったプラズマ生成を示す変数を図示する。使用可能な装置は図4に図示の装置に限定されず、本発明のいくつかの実施形態は、PEALD、PECVD、サイクリックPECVD、プラズマエッチング、プラズマクリーニング、又は他のプラズマ処置のために適したどのプラズマ堆積装置にでも適用することができる。本装置は、チャンバ45、チャンバ45内に備えられる上部電極又はシャワーヘッド47、および下部電極又はサセプター46を含む。基板Wが下部電極46上に載置されて、プラズマとの反応のためにチャンバ内部(チャンバは排気管49を使用して排気される。)へプリカーサ容器41から供給されるプリカーサ及びガス容器42から供給されるリアクタントを使用して原子層堆積を行う。プラズマは整合機44を介してRF電源43からのRF電力を印加することによって、電極の間で発生する。外側からプラズマ光をモニターするために、チャンバ45は、ビューポート(観察窓)48(その中に光センサー33が上部及び下部電極の間の空間に向けて設置される)を有する。光センサー33は光ケーブル32を介して光センサーモジュール31に接続されている。光センサーモジュール31から、アナログ出力信号がADS(図示せず)に出力される。図4の中のグラフ(a)はプラズマ光モニターの典型的な結果である。(プラズマのモニタリング(プラズマモニター)のパルスはRF進行電力(Fwd)のパルスと同期して、検出される。)。
図5A((通常のプラズマ生成)、図5B(プラズマ生成不良)及び図5C(スパイクを伴ったプラズマ生成)(図4中の(a)よりも詳細に示す)は、本発明の実施形態に従って印加RF進行電力(Fwd)に応じたプラズマのモニタリング(プラズマモニター)の出力を示すグラフである。図5Aは、RF進行電力(Fwd)が印加されたとき、プラズマが生成し(アナログ信号を出力する(プラズマモニター)光センサーにより検出される)、その後信号が維持されることを示し、RF電力がオフとなるまでプラズマが維持されていることを示す。しかし、図5Bに示されているように、RF電力の電圧が放電開始電圧の近傍の場合、RF進行電力(Fwd)が印加されたときでも、時に、信号は光センサーで検出されない(プラズマが生成していないことを示す)。図5Cでは、図5Aで使用された電力より大きいRF電力がRF電力の印加開始の時に適用されたとき、光センサーからのアナログ信号出力(プラズマ生成が生じたことを示す)が検出され、検出の直後に、RF電力は図5Aに示されたのと同じ電力に減少する(図5Cに示されているように、RF電力のスパイクが構成される。)。RF電力のスパイクを印加することにより、図5Bに示されたようなプラズマ生成不良を避けることができる。
図4に示されているように、プラズマ生成をモニターするために、チャンバ45は、RF電源や整合機44で測定されるピークツーピーク電圧(Vpp)及び/又は自己バイアス電圧(Vdc)をモニターするための他の検出システムを更に、又は代わりのものとして有する。図4の中のグラフ(b)は、プラズマ生成をモニターする典型的な結果を示す(ここで、Vdc及びVppのパルスが、RF進行電力(Fwd)のパルスと同期して検出されている。)。
図6A(通常のプラズマ生成)、図6B(プラズマ生成不良)及び図6C(スパイクを伴ったプラズマ生成)(図4の中の(b)よりも詳細を示す)は、本発明の実施形態にしたがって印加RF進行電力(Fwd)に応じたVdc及びVppの出力を図示するグラフである。図6AはRF電力(Fwd)が印加されたとき、プラズマが生成されたことを示す(プラズマはVdcを変化させ、Vdcの下向きパルスを形成する一方で、Vppのパルスは、プラズマ生成に関係なく、RF電力のパルスと非常に相関したものとなっている。)。しかし、図6Bに示されているように、RF電力の電圧が放電開始電圧の近傍であると、RF電力(Fwd)が印加されたときでも、時に、Vdcの変化は検出されず、このことは、プラズマ生成が起こっていないことを示す。図6Cにおいて、図6Aの中で用いられたRF電力より大きいRF電力がRF電力の印加の開始時に印加されるとき、Vdcの変化が検出され(このことはプラズマ生成が起こっていることを示す。)、検出の直後に、RF電力は図6Aに示されたのと同じ電力へと減少し、図6Cに示されているようにRF電力のスパイクが構成されることになる。Vppのスパイクは、RF電力のスパイクを反映している。RF電力のスパイクを印加することによって、図6Bに示されているようなプラズマ生成不良を避けることができる。
上記の実施形態や他の実施形態において、当業者であれば、本装置が、ここで記述され、実行される上記検出プロセスを行うようにプログラムされるか、さもなければ、構成される一つ以上の制御器(図示せず)を含むことは分かるであろう。制御器は、当業者には分かるように、リアクタの電力源、加熱システム、ポンプ、ロボット及びガス流制御器又は弁と通信する。
開示された実施形態は特定の例に関連して説明されているが、これは本発明を制限することを意図するものではない。具体的な例に示されている数値は、他の条件では少なくとも50%の範囲(範囲の端点は含んでも、含まなくともよい)で修正することができる。条件や構成が具体的になっていないものについて、当業者であれば、本開示の下、ルーティーンの実験項目として、具体的な条件や構成を容易に提供できるだろう。

半導体基板(直径300mm)が、ホウケイ酸ガラス(BSG)のPEALD用の図4に示された装置の直径325mmをもつサセプター上に載置され、BSGの膜が、以下の条件の下、基板に堆積された。
BSG用のPEALD
プリカーサ:BDEAS、TEOB
プリカーサの流入圧:400Pa
基板温度:300℃
プリカーサーキャリアガス流量:2.0SLM(連続)
反応ガス流量:0.5SLM(連続)
サイクル当たりのプリカーサ供給時間:0.3秒
プリカーサ供給後のパージ時間:1.0秒
RF周波数:13.56MHz
サイクル当たりのRFプラズマ照射時間:0.2秒
RF電力印加サイクル後のパージ時間:0.1秒
RF電力の印加サイクルは、以下の表2に示された条件の下で、図10及び図11に図示されたシーケンスを実行するプログラムを使用して、図2Bに基づき制御された。各条件の下、プラズマ生成不良が起こる比率(RF電力を電極に印加した全回数に対して、遅れなくプラズマが生成された回数の比率)が決定され、その結果も表2に示されている。
表2に示されているように、例1ではスパイクが印加されなかったとき(例1)、プラズマ生成不良が起こる比率は35%であった(このことは、50Wが放電開始電圧近傍のRF電力であったことを示すと考えられる。(プラズマ生成不良が起こる比率が20%以上であるとき、例えば、RF電力はこの条件下で放電開始電圧の近傍に設定されていると考えられる。))。スパイク電力が100Wでスパイク期間が10ミリ秒のスパイクが印加されたとき(例2)、プラズマ生成不良が起こる比率はまだ35%で改善されなかった。さらに、スパイク電力が100Wでスパイク期間が20ミリ秒(例2の二倍の時間)のスパイクが印加されたとき(例7)、プラズマ生成不良が起こる比率はまだ35%で改善されなかった。さらに、スパイク電力が100Wでスパイク期間が50ミリ秒(例2の四倍)のスパイクが印加されたとき(例9)、プラズマ生成不良が起こる比率は30%程度の高さで大幅な改善は無かった。したがって、スパイク電力が高くないとき、スパイクの時間が長くなっても、プラズマ生成不良が起こる比率は改善されない。
スパイク電力が200W(例2の場合の2倍)でスパイク時間が10ミリ秒のスパイクが印加されたとき(例3)、プラズマ生成不良が起こる比率は35%%で改善されなかった。しかし、スパイク電力が200Wでスパイク期間が20ミリ秒(例3の場合の二倍)のスパイクが印加されたとき(例8)、プラズマ生成不良が起こる比率に5%に低下し、実質的に改善された。スパイク電力が200Wでスパイク期間が50ミリ秒(例3の場合の五倍)のスパイクが印加されたとき(例10)、プラズマ生成不良が起こる比率は0%に低下し、急激に改善された。スパイク電力が300W(例2の場合の三倍)でスパイク期間が10ミリ秒のスパイクが印加されたとき(例4)、プラズマ生成不良が起こる比率は実質的に15%に低下し、実質的に改善された。さらに、スパイク電力が400W(例2の場合の四倍)でスパイク期間が10ミリ秒のスパイクが印加されたとき(例5)、プラズマ生成不良が起こる比率は0%に低下し急激に改善された。さらに、スパイク電力が500W(例2の場合の五倍)でスパイク期間が10ミリ秒のスパイクが印加されたとき(例6)、プラズマ生成不良が起こる比率は0%に低下し、急激に改善された。このように、少なくとも200WのRF電力をもつスパイクを適切な時間設定(例えば、約200Wで約20ミリ秒、約300Wで10ミリ秒)で使用すると、プラズマ生成不良は実質的に改善された。
次に、ホウケイ酸ガラス(BSG)を以下を除き上記と同じ条件で、4つの条件で成膜を行った(スパイクなしの50W、200Wで20ミリ秒のスパイクを伴う50W、200Wで50ミリ秒のスパイクを伴う50W、およびスパイク無しの200W)。このようにして得られた基板は、基板の表面を露出するためにDHFに浸漬しBSG膜を除去した(Si基板の表面について、基板に拡散するホウ素の濃度を測定するために二次イオン質量分析(SIMS)を行った。)。結果は図3に示されている。スパイクなしの50WのRF電力で基板上にBSG膜を堆積した場合、プラズマ生成は上記の表2(例1)で示されているように不安定である。しかし基板に浸透され、拡散されたホウ素の濃度は4E+16原子/cmのオーダー内にあった(このことはドーパントのホウ素の衝撃が深刻でなかったことを示している。)。一方、スパイクなしの200WのRF電力が基板上にBSG膜を堆積するために、印加されたとき、プラズマ生成は安定的(プラズマ生成不良が起こる比率がゼロパーセント)であるが、基板に浸透され、拡散されたホウ素の濃度は図3に示されているように、1.5E+17原子/cmのオーダーに上昇した(このことはドーパントのホウ素の衝撃が重大であることを示している。)。200Wで50ミリ秒のスパイクを伴う50WのRF電力が基板上にBSG膜を堆積するために、印加されたとき、プラズマ生成は表2に示されているとおり(例10、プラズマ生成不良が起こる比率がゼロパーセント)安定的であったが、しかし、基板に浸透され、拡散されたホウ素の濃度は、図3に示されているとおり、スパイクなしの200WのRF電力を印加する場合と実質的に同じレベルに増加した(このことは、スパイクの期間が非常に長いと(約50ミリ秒以上長い)、ドーパントのホウ素の衝撃が、スパイク電力の連続な印加のときと同じように重大となった。)。200Wで20ミリ秒のスパイクを伴う50WのRF電力が基板上にBSG膜を堆積するために、印加されたとき、プラズマ生成は図表2に示されているとおり(例8、プラズマ生成不良が起こる比率が5パーセント)安定的であり、基板に浸透され、拡散されたホウ素の濃度は増加せず、図3に示されているとおり、スパイクなしの50WのRF電力の印加の場合と実質的に同じレベルであった(このことは、スパイクの期間が十分に短いと(約20ミリ秒以下と短い)、ドーパントのホウ素の衝撃が、スパイクなしのベース電力の連続な印加と同じように制御され、スパイクは基板に実質的な変化を生じさせなかった。)。
上記のとおり、スパイク電力(P[W])及びスパイク時間(T[ミリ秒])が適切に設定されると、プラズマ生成は、スパイクで基板に実施的な変化を生じさせることなく効果的に安定化することができる。適切なPおよびTが基板材料、プロセスのタイプなどに依存するが、当業者であれば、ここでの開示及び他のルーティーンの実験に基づき適切なP及びTを決定することができる。いくつかの実施形態では、式P=(2000/T)+100は直径325mmをもつサセプター-に適用され、P20%、T20%を採用することができる。いくつかの実施形態では、下部電極の面積当たりのスパイク電力、Pは0.15W/cmから0.6W/cmの範囲で設定され、スパイクの期間(ミリ秒)はT50%またはT20%で、ここでT=2.4/(P−0.12)である。
いくつかの実施形態で、本発明は、背景技術において議論されたプロセスを含む適切なプラズマ処理にも適用される。
本発明の思想から逸脱することなく、多くのさまざまな修正が可能であることは当業者の知るところである。従って、言うまでもなく、本発明の態様は例示に過ぎず、本発明の範囲を限定するものではない。

Claims (14)

  1. 基板上に実行される連続プロセスにおけるプラズマ生成を安定化させる方法であって、
    上部電極と基板が置かれる下部電極との間にRF電力のスパイクを印加する工程であって、前記スパイクはゼロ電力から始まって、スパイク電力へジャンプし、次にプラズマ生成不良となる程度に低いベース電力へと低下する、ところの印加工程と、
    前記上部電極と下部電極との間に、前記ベース電力のRF電力を、前記基板を処理するために前記スパイクの期間より長い期間、連続して印加する連続印加工程と、含み、
    前記スパイクは、プラズマ生成不良を減らすものである、ことを特徴とする方法。
  2. 前記ベース電力が放電開始電圧の近傍に設定される、請求項1に記載の方法。
  3. 前記下部電極の面積当たり、前記ベース電力が0.12W/cm未満で、前記スパイク電力が0.12W/cmを超える、請求項2に記載の方法。
  4. 前記スパイク電力が前記下部電極の面積当たり0.36W/cm以下である、請求項3に記載の方法。
  5. 前記スパイク電力が、プラズマが生成した後に終了する、請求項1に記載の方法。
  6. 前記スパイクが、前記スパイクのないベース電力の使用を除き、同じく処理される膜と比較して前記スパイクが前記基板上に変化を引き起こす前に、前記スパイクが終了する、請求項5に記載の方法。
  7. 前記スパイクは、前記スパイクの開始から20ミリ秒以内で終了する、請求項5に記載の方法。
  8. さらに、RF電源及び/又はプラズマ光からの信号に基づいてプラズマ生成をモニターし、プラズマ生成が検出されたとき前記スパイクを終了する工程を含む、請求項5に記載の方法。
  9. プラズマ生成が、光センサーを使って前記上部及び下部電極の間のプラズマ光をモニターすることにより検出される、請求項8に記載の方法。
  10. プラズマ生成が、前記高RF電源や整合機で測定されたピークツーピーク電圧(Vpp)及び/又は自己バイアス電圧(Vdc)をモニターすることにより検出される、請求項8に記載の方法。
  11. 前記スパイクが、予め設定された時間の範囲内で終了する、請求項5に記載の方法。
  12. 前記スパイクのタイミングが、RF電力のオンオフ信号、及びスパイク電力及びスパイク時間により制御され、前記スパイク時間は、設定値を有し、RF電力がオンとなったときにカウントを開始し、設定値が終了するまでカウントを続けるパラメータとして使用され、前記スパイク電力は、設定値を有し、RF電力のオンオフ信号及び前記スパイク時間により規制されるパラメータとして使用され、ここで、RF電力がオフの間で、また前記スパイク時間がカウントしている間、前記スパイク電力の信号が出力され、RF電力がオンではある前記スパイク時間のカウントが停止している間、前記スパイク電力の信号が出力されず、RF電力がオンとなったときに、前記スパイクが生成され、このことにより、前記スパイクは、前記スパイクの開始時の前記スパイク電力のパラメータの値の変化をなくすことによりアナログ開始の遅れなく始まる、ことを特徴とする請求項11に記載の方法。
  13. 前記スパイク時間の期間が20ミリ秒に設定される、請求項12に記載の方法。
  14. 前記連続プロセスが、プラズマ励起原子層堆積(PEALD)のプロセスである、請求項1に記載の方法。
JP2013178344A 2012-09-05 2013-08-29 プラズマ生成を安定化させる方法 Active JP6404549B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/604,498 US8742668B2 (en) 2012-09-05 2012-09-05 Method for stabilizing plasma ignition
US13/604498 2012-09-05

Publications (2)

Publication Number Publication Date
JP2014051738A true JP2014051738A (ja) 2014-03-20
JP6404549B2 JP6404549B2 (ja) 2018-10-10

Family

ID=50186549

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013178344A Active JP6404549B2 (ja) 2012-09-05 2013-08-29 プラズマ生成を安定化させる方法

Country Status (4)

Country Link
US (1) US8742668B2 (ja)
JP (1) JP6404549B2 (ja)
KR (1) KR102175661B1 (ja)
TW (1) TWI577829B (ja)

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9577516B1 (en) * 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102637922B1 (ko) * 2016-03-10 2024-02-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 안정화 방법 및 이를 이용한 증착 방법
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108471666B (zh) * 2017-02-23 2021-06-08 北京北方华创微电子装备有限公司 一种等离子体产生方法及装置和半导体处理设备
JP6807792B2 (ja) * 2017-03-27 2021-01-06 東京エレクトロン株式会社 プラズマ生成方法及びこれを用いたプラズマ処理方法、並びにプラズマ処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10854428B2 (en) 2017-12-13 2020-12-01 Applied Materials, Inc. Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001207268A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2001207265A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2002110398A (ja) * 2000-09-27 2002-04-12 Matsushita Electric Works Ltd プラズマ処理装置及びプラズマ点灯方法
JP2003303814A (ja) * 2002-04-11 2003-10-24 Matsushita Electric Works Ltd プラズマ処理装置及びプラズマ処理方法
JP2006188729A (ja) * 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
JP2006278058A (ja) * 2005-03-28 2006-10-12 Matsushita Electric Works Ltd プラズマ処理装置
JP2008066159A (ja) * 2006-09-08 2008-03-21 Noritsu Koki Co Ltd プラズマ発生装置およびそれを用いるワーク処理装置
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414492A (en) * 1982-02-02 1983-11-08 Intent Patent A.G. Electronic ballast system
DE4122452C2 (de) * 1991-07-06 1993-10-28 Schott Glaswerke Verfahren und Vorrichtung zum Zünden von CVD-Plasmen
US5404082A (en) * 1993-04-23 1995-04-04 North American Philips Corporation High frequency inverter with power-line-controlled frequency modulation
US5997768A (en) 1993-06-29 1999-12-07 Ciba Specialty Chemicals Corporation Pelletization of metal soap powders
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6137240A (en) * 1998-12-31 2000-10-24 Lumion Corporation Universal ballast control circuit
US7988833B2 (en) * 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US6967305B2 (en) * 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US20050069651A1 (en) 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
JP4560681B2 (ja) * 2004-12-24 2010-10-13 ミネベア株式会社 多灯式放電灯点灯装置
US20060278524A1 (en) * 2005-06-14 2006-12-14 Stowell Michael W System and method for modulating power signals to control sputtering
US7906910B2 (en) * 2005-10-27 2011-03-15 Luxim Corporation Plasma lamp with conductive material positioned relative to RF feed
US7994721B2 (en) * 2005-10-27 2011-08-09 Luxim Corporation Plasma lamp and methods using a waveguide body and protruding bulb
US7638951B2 (en) * 2005-10-27 2009-12-29 Luxim Corporation Plasma lamp with stable feedback amplification and method therefor
JP4943780B2 (ja) 2006-08-31 2012-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP2008202107A (ja) 2007-02-21 2008-09-04 Hitachi Kokusai Electric Inc 基板処理装置
US8138676B2 (en) * 2008-12-01 2012-03-20 Mills Robert L Methods and systems for dimmable fluorescent lighting using multiple frequencies
US8692466B2 (en) * 2009-02-27 2014-04-08 Mks Instruments Inc. Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator
US8004198B2 (en) * 2009-05-28 2011-08-23 Osram Sylvania Inc. Resetting an electronic ballast in the event of fault

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001207268A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2001207265A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2002110398A (ja) * 2000-09-27 2002-04-12 Matsushita Electric Works Ltd プラズマ処理装置及びプラズマ点灯方法
JP2003303814A (ja) * 2002-04-11 2003-10-24 Matsushita Electric Works Ltd プラズマ処理装置及びプラズマ処理方法
JP2006188729A (ja) * 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
JP2006278058A (ja) * 2005-03-28 2006-10-12 Matsushita Electric Works Ltd プラズマ処理装置
JP2008066159A (ja) * 2006-09-08 2008-03-21 Noritsu Koki Co Ltd プラズマ発生装置およびそれを用いるワーク処理装置
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置

Also Published As

Publication number Publication date
KR20140031794A (ko) 2014-03-13
KR102175661B1 (ko) 2020-11-09
US8742668B2 (en) 2014-06-03
JP6404549B2 (ja) 2018-10-10
US20140062304A1 (en) 2014-03-06
TW201418511A (zh) 2014-05-16
TWI577829B (zh) 2017-04-11

Similar Documents

Publication Publication Date Title
JP6404549B2 (ja) プラズマ生成を安定化させる方法
KR102306470B1 (ko) 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법
CN109075066B (zh) 使用无晶片干式清洗发射光谱来控制干式蚀刻过程的方法
US9362131B2 (en) Fast atomic layer etch process using an electron beam
KR100190418B1 (ko) 건식 에칭 장치 및 방법
KR102382267B1 (ko) 연속파 플라즈마와 펄싱 플라즈마 사이의 전이를 제어하기 위한 방법들 및 장치들
US10078266B2 (en) Implanted photoresist stripping process
KR102333108B1 (ko) 플라즈마 불안정성의 uv 기반 억제를 위한 시스템들 및 방법들
JP2022514171A (ja) 半導体処理のためのチャンバ構成部品のインサイチュ保護被膜
KR102414856B1 (ko) 저응력 질소 도핑된 텅스텐 막들의 물리적 기상 증착
WO2009107196A1 (ja) プラズマ成膜方法、およびプラズマcvd装置
US20130048082A1 (en) System, method and apparatus for real time control of rapid alternating processes (rap)
US20220285130A1 (en) Multi-state rf pulsing to control mask shape and breaking selectivity versus process margin trade-off
KR20220002748A (ko) 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
KR20010067192A (ko) 플라즈마 cvd 장치의 챔버 클리닝 방법 및 플라즈마cvd 장치
JP2000200772A (ja) プラズマ処理方法
JP7433095B2 (ja) 基板処理方法及び基板処理装置
KR20240047769A (ko) 가스 펄싱을 이용한 원자층 식각 방법
JP2021086959A (ja) クリーニング用のレシピ作成方法およびクリーニング方法
US20200105626A1 (en) Arcing test vehicle and method of use thereof
KR20230105522A (ko) 기판 처리 장치 및 기판 처리 장치 세정 방법
KR20220143122A (ko) 코어 제거
TW202335030A (zh) 藉由改變通向邊緣環之輸入電壓波形的極邊緣特徵部輪廓傾斜控制
KR20170024822A (ko) 플라즈마 클리닝링을 구비한 플라즈마 처리장치, 이를 포함한 플라즈마 처리 시스템 및 이를 이용한 처리방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170501

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171019

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180521

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180528

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180821

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180913

R150 Certificate of patent or registration of utility model

Ref document number: 6404549

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250