KR20140031794A - 플라즈마 점화 안정화 방법 - Google Patents

플라즈마 점화 안정화 방법 Download PDF

Info

Publication number
KR20140031794A
KR20140031794A KR1020130098575A KR20130098575A KR20140031794A KR 20140031794 A KR20140031794 A KR 20140031794A KR 1020130098575 A KR1020130098575 A KR 1020130098575A KR 20130098575 A KR20130098575 A KR 20130098575A KR 20140031794 A KR20140031794 A KR 20140031794A
Authority
KR
South Korea
Prior art keywords
spike
power
ignition
plasma
substrate
Prior art date
Application number
KR1020130098575A
Other languages
English (en)
Other versions
KR102175661B1 (ko
Inventor
나카노 류
마키노 츠토무
타카미자와 히사시
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20140031794A publication Critical patent/KR20140031794A/ko
Application granted granted Critical
Publication of KR102175661B1 publication Critical patent/KR102175661B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판상에 실시된 연속 공정에서 플라즈마 점화를 안정화하기 위한 방법은, 기판이 위치되는 상부 전극과 하부 전극 사이에 RF 전력의 스파이크를 인가하는 단계로서, 스파이크는 제로 전력에서 시작하여 스파이크 전력으로 점프하고, 그리고 난 후, 플라즈마의 점화 실패를 일으킬 만큼 낮은 베이스 전력으로 강하하는 단계와, 기판을 처리하는 스파이크의 기간보다 상당히 긴 기간 동안 상부 및 하부 전극 사이의 베이스 전력에서 RF 전력을 연속하여 인가하는 단계를 포함한다. 상기 스파이크는 점화 실패를 감소하도록 한다.

Description

플라즈마 점화 안정화 방법{METHOD FOR STABILIZING PLASMA IGNITION}
본 발명은 일반적으로, 예를 들어, 플라즈마 강화 원자층 증착(PEALD) 또는 다른 플라즈마 강화 증착에 의한 플라즈마 기판을 처리하는 방법에 관한 것으로서, 특히 이러한 처리 공정에서 플라즈마 점화를 안정화하기 위한 방법에 관한 것이다
PEALD 공정에서, 예를 들어, RF 전력은 막(film)의 품질과 특성을 제어하는 중요한 파라미터이다. 예를 들어, 높은 RF 전력은 막의 품질을 향상시킬 수 있지만, 일부 적용에서는 매우 낮은 RF 전력이 필요하다. 다음과 같은 세 가지 적용이 전형적인 예다.
도 1a는 고체 상태 확산(SSD)을 위한 붕규산 유리(borosilicate glass)(BSG) 공정을 나타내는 개략도이다. 이 공정에서 낮은 RF 전력이 요구되는 이유는, 높은 RF 전력이 인가되면, 이온 충격에 의해 막 형성 단계에서 Si 기판 안으로 불순물이 주입되게 된다. 도 1a 도시된 바와 같이, BSG 막(1)이 기판(3) 상에 형성되면, 붕소 B는 기판(3) 안으로 침투하여, 기판(3)에 붕소 확산 층(2)을 형성한다. 이러한 이물질(foreign matter)의 확산은, 이물질의 원치 않는 확산을 제한하기 위해, BSG 막을 형성하는 중에 또는 형성한 후에, BSG 막을 제거하고, 열처리를 행하여, 기판 표면상의 특정한 원하는 영역으로 국한할 수 있다. 그러나, 확산이 막 형성 공정 중에 발생하는 경우, 불가피하게 이러한 이물질이 원치 않는 지역으로 확산 된다. 포스포실리케이트 유리(Phosphosilicate Glass)(PSG) 공정은 높은 RF 전력이 공급될 때 상기와 유사한 문제가 있다.
도 1b는 하부 층의 산화를 요구하지 않는 SiO 공정을 나타내는 개략도이다. 이 공정에서 낮은 RF 전력이 요구되는 이유는, 높은 RF 전력이 인가되는 경우, 하부 층의 물질이 막 형성 단계에서 산소 플라즈마, 이온 충격(ion bombardment) 및/또는 스패터링(spattering)에 의해 산화될 수 있기 때문이다. 도 1b에 도시된 바와 같이, SiO 막(4)이 텅스텐(W) 층(6)에 형성되면, W 층(6)은 산화되어, W 층(6)에 산화 층(5)이 형성된다. 하부 층은 W와 TiN 과 같은 물질, 또는 SiN 막과 같은 막을 포함하고, PEALD-SiO 막이 그 위에 증착되고, 하부 층의 물질은 PEALD-SiO 막을 형성하기 위해 산화 가스를 포함하는 플라즈마에 의해 산화된다. 산화된 하부 층을 갖는 디바이스는 원하는 특성을 갖지 못한다.
도 1c는 포토레지스트상의 막 형성 공정을 개략적으로 도시하는 개략도이다. 이 공정에서 낮은 RF 전력이 요구되는 이유는, 높은 RF 전력을 인가하면, 포토레지스트가 막 형성 공정 단계에서 산소 플라즈마, 이온 충격 및/또는 스패터링에 의해 에칭 또는 부식될 수 있기 때문이다. 도 1c에 도시된 바와 같이. SiO 막이 하부 층(9) 상에 형성된 포토레지스트(8)에 형성되면, 포토레지스트(8)는 에칭 또는 부식되어, 포토레지스트(8)의 크기가 감소한다. 더블 패터닝 공정 계획에서, PEALD-산화 막을 포토레지스트에 증착시키면, PEALD-산화 막을 형성하는 산화 가스를 포함하는 플라즈마는 하부 포토레지스트를 산화시키고, 또한 스퍼터링은 포토레지스트를 축소(shrinks) 시킨다. 결과적으로, 바람직한 크기를 갖는 포토레지스트를 얻을 수 없게 된다.
반면, 낮은 RF 전력의 적용은 문제를 일으킨다. RF 전력이 낮은 경우, RF 송신기 회로에서의 전력 손실에 의한 영향, 박스의 위치화를 매칭시키는 민감도, 및/또는 반응 챔버에서의 분위기를 변화시키는 민감도(예를 들면, 증착 막의 두께 변화로 인해)가 더욱 심각하게 된다. 따라서, 때때로 전압이 연소 전압에 도달하지 않으면, 플라즈마 점화 실패(plasma ignition failure) 또는 플라즈마 처리의 단축(shortening)을 일으킨다. 그 결과, 예상된 처리 결과물 (예를 들면, 막의 두께 또는 균일성)를 얻을 수 없게 된다.
관련된 기술에 포함된 문제점들 및 해결책들의 모든 논의는 본 발명에 대한 개념을 제공할 목적으로만 이 명세서에 포함되었으며, 논의의 일부 또는 전부를 본 발명이 형성된 당시에 알고 있었다는 입장으로 간주하여서는 안 된다.
일부 실시예들은 기판상에 실시된 연속 공정에서 플라즈마 점화를 안정화하기 위한 방법을 제공하며, (i) 기판이 위치되는 상부 전극과 하부 전극 사이에 RF 전력의 스파이크를 인가하는 단계로서, 여기서, 스파이크는 제로 전력에서 시작하여 스파이크 전력으로 점프하고, 그리고 난 후, 플라즈마의 점화 실패를 일으킬 만큼 낮은 베이스 전력으로 강하하는 단계, (ⅱ) 기판을 처리하는 스파이크의 기간보다 상당히 긴 기간 동안 상부 및 하부 전극 사이의 베이스 전력에서 RF 전력을 연속하여 인가하는 단계로서, 여기서, 스파이크는 점화 실패를 감소하도록 하는 단계를 포함한다.
일부 실시예들에서, 베이스 전력이 점화 전압 근처에 설정된다. 일부 실시예들에서, 스파이크는 플라즈마 점화 후에 종료되고, 스파이크는, 스파이크가 없는 베이스 전력을 사용하는 것을 제외하고는 동일하게 처리된 막과 비교할 때, 스파이크가 기판상의 막에 상당한 변화를 발생시키기 전에 종료된다.
PR 전력 인가의 스파이크로 인해, 플라즈마 점화 실패는 막에 상당한 변화를 유발하지 않고 많이 감소할 수 있다.
본 발명의 측면과 관련 기술을 통해 성취된 장점을 요약하기 위해, 본 발명의 특정 목적들과 장점들이 본 명세서에 기술되어 있다. 물론, 이러한 모든 목적들 또는 장점들은 본 발명의 특정 실시예에 따라 달성될 수 있다는 것이 이해될 것이다. 따라서, 예를 들어, 당업자는 여기서 가르치거나 제시될 수 있는 바와 같은 다른 목적들 또는 장점들을 성취할 필요없이, 여기서 가르친 바와 같은 하나의 장점 또는 장점들의 그룹을 성취하거나 적당화시키는 방식으로, 본 발명을 구현하거나 수행할 수 있음을 인식할 수 있을 것이다.
본 발명의 또 다른 측면들, 특징들 및 장점들이 다음의 상세한 설명으로부터 명백해질 것이다.
본 발명의 이들 및 다른 특징들이 바람직한 실시예들인 도면을 참조하여 이제 설명되며, 이들 바람직한 실시예들은 본 발명을 설명하고자 하는 의도이며 제한하지는 않는다. 도면들은 설명을 위하여 상당히 단순화하였고 일정한 비율일 필요는 없다.
기판상에 실시된 연속 공정으로, 기판이 위치되는 상부 전극과 하부 전극 사이에 RF 전력의 스파이크를 인가하는 단계와, 기판을 처리하는 스파이크의 기간보다 상당히 긴 기간 동안 상부 및 하부 전극 사이의 베이스 전력에서 RF 전력을 연속하여 인가하는 단계를 포함하여, 스파이크가 점화 실패를 감소하도록 하여, 플라즈마 점화를 안정화한다.
도 1a는 고체 상태 확산(SSD)을 위한 붕규산 유리(BSG) 공정을 개략적으로 도시한 개략도이다.
도 1b는 하부 층의 산화를 요구하지 않는 SiO 공정을 개략적으로 도시한 개략도이다
도 1c는 포토레지스트상의 막 형성 공정을 개략적으로 도시한 개략도이다.
도 2a는 기판을 처리하기 위해 전극들에 인가된 RF 전력의 종래의 패턴을 도시하는 도면이다.
도 2b는 본 발명의 일 실시예에 따라 기판을 처리하기 위해 전극들에 인가된 RF 전력의 패턴을 도시하는 도면이다.
도 3은 붕규산 유리(BSG)가 PEALD에 의해 각 기판상에 증착될 때, 기판에 침투된 붕소의 농도를 나타내는 2차 이온 질량 분광 분석법(second ion mass spectrometry)(SIMS)의 결과를 보여주는 그래프이다.
도 4는 플라즈마 강화 원자층 증착(PEALD)을 위한 장치를 개략적으로 도시하고, 본 발명의 일 실시예에 따른 플라즈마 점화의 변수를 도시하는 도면이다.
도 5a(정상 점화), 5b(점화 실패), 그리고 5c(스파이크 점화)는 본 발명의 실시예에 따라 플라즈마 모니터링(플라즈마 모니터)과 인가된 RF 포워드 전력(Fwd)의 출력을 나타낸 그래프이다.
도 6a(정상 점화), 6b(점화 실패), 그리고 6c(스파이크 점화)는 본 발명의 실시예에 따른 인가된 RF 포워드 전력(Fwd)에 대해 응답하는 Vdc와 Vpp의 출력을 나타낸 그래프이다.
도 7은 본 발명의 일 실시예에 따른 RF 전력 적용의 타이밍 차트이다.
도 8은 본 발명의 일 실시예에 따른 RF 전력 적용의 향상된 타이밍 차트다.
도 9는 본 발명의 일 실시예에 따른 RF 발전기(generator)를 제어하기 위한 개략적인 제어 도식을 도시한다.
도 10은 본 발명의 일 실시예에 따른 스파이크 모드에서 순서를 설명하는 흐름도이다.
도 11은 본 발명의 일 실시예에 따른 스파이크 모드에서 순서의 일부를 도시한 흐름도이다.
본 명세서에서 "가스"는 증발된 고체 및/또는 액체를 포함할 수 있으며, 단일 가스 또는 가스 혼합물로 구성될 수 있다. 본 명세서에서, 전구체, 반응 가스, 및 다른 첨가제 가스들은 서로 다르거나, 또는 가스 유형의 관점에서 서로 배타적이며, 즉 이들 범주 중 가스 유형이 서로 중복되지 않는다. 일부 실시예에서, "막(film)"은 전체 타깃(target) 또는 관련 표면을 커버하기 위해 핀홀이 실질적으로 없고 두께 방향에 대해 수직인 방향으로 계속 확장하는 층, 또는 단순히 타깃 또는 관련 표면을 커버하는 층을 나타낸다. 일부 실시예에서, "층"은 표면상에 형성된 일정 두께를 갖는 구조 또는 막의 동의어를 나타낸다. 막 또는 층은 분리된 하나의 막, 또는 특정 특성을 갖는 막, 또는 다중 막들이나 층들로 구성될 수 있고, 인접한 막들 또는 층들 사이의 경계(boundary)는 깨끗하거나 또는 그렇지 않을 수도 있고, 물리적, 화학적 및/또는 기타 다른 특성, 형성 공정 또는 순서, 및/또는 인접한 막들 또는 층들의 기능 또는 목적에 기초하여 설정될 수 있다. 본 명세서에서 "a"는 종(species) 또는 다수 종을 포함하는 속(genus)을 나타낸다. 또한, 본 본 명세서에서, 임의의 두 변수는, 작업가능한 범위가 일상적인 작업에 기초하여 결정될 때, 작업가능한 변수의 범위를 구성할 수 있으며, 표시되는 임의의 범위는 종점(endpoints)을 포함하거나 제외할 수 있다. 부가적으로, 표시된 임의의 변수 값은 정확한 값 또는 근사값을 나타내고, 당량을 포함하며, 일부 실시예들에서는, 평균값, 중심값, 대표값, 가장 많은 값 등으로 나타낼 수 있다.
조건 및/또는 구조가 특정되지 않은 본 명세서에서, 당업자는 본 발명의 관점에서, 일상적인 경험의 입장에서, 이러한 조건 및/또는 구조를 용이하게 제공할 수 있다.
개시된 모든 실시예들에서, 실시예에서 사용되는 모든 요소들은 의도된 목적을 위해, 명시적으로, 반드시, 또는 본질적으로 여기에 개시된 것과 균등한 모든 요소들로 대체될 수 있다. 또한, 본 발명은 동일하게 장치들 및 방법들에 적용될 수 있다.
본 명세서에서, 정의된 의미는 일부 실시예에서 통상의 그리고 관습적인 의미를 배제하지는 않는다.
일부 실시예에서 설명한 바와 같이, 기판상에 실시된 연속 공정에서 플라즈마 점화를 안정화하기 위한 방법은: (i) 기판이 배치되는 상부 전극과 하부 전극 사이에 RF 전력의 스파이크를 인가하는 단계로서, 여기서, 스파이크는 제로 전력(zero power)에서 시작하여 스파이크 전력(spike power)으로 점프하고, 그리고 난 후, 플라즈마의 점화 실패를 일으킬 만큼 낮은 베이스 전력(base power)으로 강하하는, 단계와; (ⅱ) 기판을 처리하는 스파이크의 기간보다 상당히 긴 기간 동안 상부 및 하부 전극 사이의 베이스 전력에서 RF 전력을 연속하여 인가하는 단계로서, 여기서, 스파이크는 점화 실패를 감소하도록 하는, 단계를 포함한다. 본 명세서에서 "연속" 공정은 같은 층을 처리하거나 또는 같은 층을 형성하기 위해, 또는 물리적 또는 화학적으로 동일한 반응을 수행하기 위해, RF 전력이 연속적으로 인가되는 공정을 말하며, 또는 이에 상당하는 의미를 말한다. 또한, 본 명세서에서, "연속적으로" 는 진공 상태의 파괴 없이, 타임라인(timeline)으로서의 중단 없이, RF 관련 조건을 제외한 조건의 변경 없이, 그 직후, 두 구조들 사이에 별도의 물리적 또는 화학적 경계의 유발 없이, 를 말하며, 또는 이와 동일한 의미를 말한다. 본 명세서에서 "스파이크" 는 RF 전력에서의 순간적인 날카로운 증가(sharp momentary increase ) 또는 RF 전력에서의 날카로운 상승에 뒤이은 날카로운 또는 점진적인 또는 단계적 하락이다. 스파이크의 형태는 일반적으로 바 형이 될 수 있지만, 피크 또는 계단식이 될 수 있다. 스파이크의 기간은 베이스 전력이 인가되는 공정의 기간보다 실질적으로 더 짧다. 본 명세서에서 "실질적으로 더 짧은", "실질적으로 더 긴", " 실질적으로 다른" 등은 물질의 차이 또는 1/100, 10/100, 50/100, 90/100의 비율과 같이 숙련된 자에게 인식되는 차이, 또는 일부 실시예들에서의 임의의 범위를 말한다. .
일부 실시예들에서, 베이스 전력은 점화 전압 근처에 설정된다. 본 명세서에서 "점화 전압은" 자립 방전(self-sustained discharge), 즉 외부 자극 소스 없이 지속 될 수 있는 방전을 생성하는데 필요한 가스에서 전극들 사이의 최소 전위차를 의미한다. 자립 방전은 전극들 사이의 간격에서 발생하는 여기 공정(excitation processes)에 의해 유지된다. 전압이 점화 전압보다 작으면, 점화가 발생하지 않고, 전압이 점화 전압에 있으면, 점화 실패가 발생할 경우에도 점화가 발생할 수 있다. 점화 전압의 크기는 가스의 성질과 압력, 전극들의 물질, 형태, 표면 상태, 그리고 전극들 간의 간격 등에 의해 결정된다. 본 기술 분야에 통상의 지식을 가진자는, 점화가 발생하는지의 여부에 따라 전압을 점화 전압 위에 있게 할 지의 여부를 용이하게 결정할 수 있으나, 그것이 가스의 종류, 압력, 전극들 및 반응기의 형태 등에 의해 영향을 받기 때문에, 점화 전압의 정확한 값을 정확히 확인하는 것이 용이하지 않다. 점화가 발생하면 전압이 점화 전압보다 위에 있다고 가정할 수 있기 때문에, 본 명세서에서, 전압은 점화가 발생하면 점화 전압보다 위에 있다고 간주하고, 그리고 점화 실패 비율이 0% 보다 크거나, 약 20 내지 약 90 %, 또는 예를 들어, 약 20 % 내지 약 50 % 일 때, 전압은 "점화 전압 근처"에 있는 것으로 간주한다. 점화 실패 비율은, RF 전력이 전극들에 인가될 때 지체 없이 플라즈마가 생성되는 횟수와, RF 전력이 전극들에 인가될 때의 총 횟수에 대한 비율로 정의된다. 전극들과 그 사이에 인가된 RF 전력 사이의 전위는 어느 정도 상관 관계가 있으며, 전극들 사이에 인가된 RF 전력이 제로로부터 증가하면, RF 전력이 점화 전압에 해당하는 특정 값에 도달할 때, 전극들 사이에서 플라즈마가 발생된다. 일부 실시예에서, RF 전력은, 점화 실패 비율이 0% 보다 크거나, 약 20 내지 약 90 %, (또는 약 20 % 내지 약 50 %) 가 되게 하면, RF 전력은 "점화 전압 근처에 설정된 베이스 전력" 이다. 스파이크 전력은 베이스 전력보다 더 크며, 일부 실시예에서, 스파이크 전력은, 점화 실패 비율이 약 20 % 미만, 약 10 % 이하, 또는 약 5 % 이하가 되도록 한다. 스파이크는 제로 전력으로부터 시작하여, 스파이크 전력으로 점프하고, 점화 전압 근처에 설정된 베이스 전력으로 떨어진다.
일부 실시예에서, 베이스 전력이 너무 낮아 플라즈마 점화의 실패를 일으킨다. 일부 실시예에서, 베이스 전력은 약 0.12 W/cm2 미만이고, 스파이크 전력은 하부 전극들의 면적당 약 0.12 W/cm2 보다 크다. 일부 실시예에서, 베이스 전력은 하부 전극들의 면적당 약 0.012 W/cm2 보다 크거나, 또는 약 0.024 W/cm2 보다 크다. 베이스 전력이 너무 낮으면, 플라즈마가 한 번 발생하더라도 플라즈마는 소멸되게 된다. 일부 실시예에서, 스파이크 전력은 하부 전극들의 면적당 약 0.36 W/cm2 이하 이거나, 또는 약 0.24 W/cm2 이하이다. 스파이크 전력이 짧은 시간 동안 전극들 사이에 인가될 때, 다음의 베이스 전력은, 베이스 전력이 점화 전압 근처에 설정되어있는 경우에도, 낮은 점화 실패 비율을 갖는 플라즈마를 유지할 수 있다. 일부 실시예에서, 스파이크는, 스파이크 없이 베이스 전력을 사용하는 것을 제외하고 동일하게 처리된 막과 비교할 때, 스파이크가 기판상의 막에 상당한 변화를 발생시키기 전에, 종료된다. 스파이크의 기간이 너무 길면, 종래 기술 부분에서 논의된 바와 같이, 높은 전력으로 인해 기판상에 부작용이 가해질 수 있다. 그러나, 기간이 짧은 경우, 스파이크는 점화를 향상시켜, 부작용없이, 점화 실패 비율을 낮추게 된다.
일부 실시예에서, 점화를 개선하기 위해 플라즈마가 점화된 후 스파이크가 종료된다. 그러나, 전술 한 바와 같이, 부작용의 발생을 억제하기 위해 기간이 짧아진다. 일부 실시예에서, 스파이크의 개시의 약 50 msec 미만, 일반적으로 약 20 msec 미만(예를 들어, 약 10 msec 내지 약 20 msec) 이내에서 스파이크가 끝난다. 일부 실시예에서, 스파이크는 프로그램을 사용하여 조절될 수 있는 예정된 시간 내에 끝난다.
도 2a는 기판을 처리하기 위해 전극들에 인가되는 RF 전력의 종래의 패턴을 보여주며, 여기서, 상기 RF 전력은 50 W에서 제로 밀리세컨드에서 시작하여 200 밀리세컨드까지 일정하다. 상기에서, 50 W는 점화 전압에 가까운 전력에 대응하는데, 즉, 전력이 너무 낮아 점화 실패의 가능성이 높다(예를 들면, 20 % 이상). 도 2b는 본 발명의 일 실시예에 따른 기판 처리를 위해 전극들에 인가되는 RF 전력의 패턴을 보여주며, 여기서, 시작시에 RF 전력의 크기는 200 W로 점프하고, 20 밀리세컨트 이후에, RF 전력의 크기는 50 W로 떨어지고, 스파이크를 구성하고, 그 후, RF 전력은 200 밀리세컨드의 말까지 50 W로 유지된다. 스파이크 전력이 아주 높아 점화 실패의 낮은 확률(예를 들어, 20% 미만)이 존재하게 된다. 이 실시예에서, 50 W는 베이스 전력이고, 200 W는 스파이크 전력인데, 즉 스파이크 전력은 베이스 전력의 4배이다. 일부 실시예에서, 스파이크 전력은 베이스 전력의 약 1.5 배 내지 10 배 일 수 있다. 스파이크의 기간은 아주 짧아 스파이크가 수행되지 않는 경우와 비교할 때 스파이크 전력은 기판에 중요한 또는 상당한 손상을 일으키지 않는다.
일반적으로, RF 전력의 크기는 아날로그 신호를 사용하여 제어되고, RF 전력의 온-오프 스위칭은 디지털 신호를 사용하여 제어된다. 도 7은 본 발명의 실시예에 따른 RF 전력 적용의 타이밍 차트이고, 여기서, RF 전력이 온("RF On")되면, 디지털 신호가 트리거로서 사용되며, 그 크기에 대한 RF 전력의 아날로그 신호가 출력된다. 그러나, 그 성질에 의해, 아날로그 신호 시작 지연("ASD")이 스파이크 전력("SP")에 도달하기 전에 발생하다. 또한, 뒤에 설명되듯이, 스파이크의 기간은 RF 발전기의 컨트롤러(아날로그 디지털 시스템)와 모듈 컨트롤러(프로세스 모듈 컨트롤러) 간의 통신의 트래픽 용량으로 인해, 예를 들어, 50 밀리세컨드의 배수로만 설정할 수 있다. 따라서, 도 7에 도시되어 있듯이, 스파이크의 기간(또는 스파이크 시간 "ST")은 최소 50 밀리세컨드에서 설정된다. 스파이크 후, RF 출력은 베이스 출력 (또는 정상 RF 전력 "RFP")에서 유지된다.
도 9는 본 발명의 일 실시예에 따른 RF 발전기("RF Gen")(94)를 제어하기 위한 개략적인 제어 방식을 보여준다. 특히, 컨트롤러인 메인 제어 장치("UPC") (91)는, 전송 제어 프로토콜("TCP") 및 인터넷 프로토콜("IP")를 사용하는 프로세스 모듈 컨트롤러("PMC")(92)와 통신하고, 예를 들어, 프로세스 모듈 컨트롤러는 RF 발전기(94)를 제어하기 위한 프로세스 모듈을 포함하는 프로세스 모듈을 제어한다. 프로세스 모듈 컨트롤러(92)는 예를 들어, 디바이스넷(DeviceNet)(데이터 교환을 위한 제어 장치를 상호 연결하기 위해 자동화 산업에서 사용되는 네트워크 시스템)을 통해 아날로그 디지털 시스템("ADS")(93)과 통신한다. 프로세스 모듈 컨트롤러(92)와 RF 발전기(94)의 I/O 인터페이스 보드 사이에 배치되는 아날로그 디지털 시스템(93)은 프로세스 모듈 컨트롤러(92)로부터 제어 명령을 수신하고, 명령의 디지털 신호를 아날로그 신호로 변환하고, 각각의 디지털 출력 포트로 연속하여 비트(bit)를 출력한다. 아날로그 디지털 시스템(93)은 또한 RF 발전기(94)의 아날로그 및 디지털 신호를 변환하고 이들을 프로세스 모듈 컨트롤러(92)로 출력한다. 프로세스 모듈 컨트롤러(92)는 매 50 밀리세컨드 마다 아날로그 디지털 시스템(93)에 대하여 모든 아날로그 출력 및 디지털 출력 설정값을 출력하며, 아날로그 디지털 시스템(93)은 매 50 밀리세컨드 마다 프로세스 모듈 컨트롤러(92)에 대하여 모든 아날로그 입력과 디지털 입력 전류 값을 출력한다. 위의 데이터 볼륨이 매우 크기 때문에, 디바이스넷은 프로세스 모듈 컨트롤러(92)와 아날로그 디지털 시스템(93) 사이에 매 50 밀리세컨드 보다 더 자주 데이터를 전송할 수는 없다. RF 전력의 크기는 아날로그 신호를 사용하여 제어되고, 그리고 RF 전력의 온-오프 스위칭은 디지털 신호를 사용하여 제어되기 때문에, 스파이크가 도 7에 도시된 바와 같이, 종래의 제어 시스템을 사용하여 제어되는 경우, 스파이크의 시작과 50 밀리세컨드의 배수로 설정되는 스파이크(ST)의 기간에서, 아날로그 신호 지연(ASD)은 불가피하다.
그러나, 일부 실시예에서,아날로그 디지털 시스템 보드에서, 파라미터로서, RF 전력의 온-오프 스위칭의 신호, 스파이크 전력, 스파이크 시간, 베이스 전력을 설정함에 의해, 아날로그 디지털 시스템 보드는 트리거로서 RF 전력 ON 신호를 사용하여, 밀리세컨드로 스파이크를 제어할 수 있다. 또한, RF 전력이 OFF로 될 때, 스파이크 전력을 출력 전력으로서 설정함에 의해, 아날로그 신호 지연을 회피할 수 있다. 도 8은 본 발명의 일 실시예에 따른 RF 전력 적용의 타이밍 차트이다. 이 실시예에서, 스파이크의 타이밍은 RF 전력의 온-오프 신호의 디지털 신호와, 스파이크 전력(SP) 및 스파이크 시간(ST)에 의해 제어된다. 스파이크 전력은 제로 보다 큰(즉, 베이스 전력보다 큰) 설정 값(스파이크 전력의 크기)을 갖고, 연속 공정전에 시작하고(-50 내지 0 msec. 도 8), 연속 공정에서 스파이크시에 계속되고(ST, 0 내지 20 msec. 도 8), 연속 공정 이후에 재시작하고(200 내지 250 msec. 도 8), 연속 공정에서 스파이크의 종결에 시작하여 연속 공정의 종결까지 계속되어(20 내지 200 msec. 도 8), 제로(즉, 베이스 전력)로 되는, 파라미터로서 사용된다. 스파이크 전력(SP)은 RF 전력의 온-오프 신호 및 설정 값을 가지는 스파이크 시간에 의해 조절되고, 타이머가 스파이크의 시작부터 카운트를 시작하여 설정 시간이 오버 될 때까지(0 내지 20 msec. 도 8) 카운트를 계속하는, 파라미터로서 사용된다. 도 8에서, RF 전력이 OFF 되고 스파이크 시간 타이머가 -50 msec 내지 0 msec의 시간 주기 동안 시작되지 않으면, 스파이크 전력 신호가 출력된다. 스파이크 전력 신호가 출력되어도, RF 전력이 OFF 이기 때문에, RF 전력이 여기 되지 않는다. RF 전력이 ON 이고, 0 msec 내지 20 msec의 시간 주기 동안 스파이크 시간 타이머가 카운트를 하면, 스파이크 전력 신호가 출력된다. 0 msec 내지 20 msec의 시간 주기 동안에는, RF 전력이 ON 이고 또한, 스파이크 전력 신호가 출력되기 때문에, 스파이크 전력은 여기된다. RF 전력이 ON 이고, 스파이크 시간 타이머가 20 msec 내지 200 msec 부터의 시간 주기 동안 종료되면, 스파이크 전력 신호가 출력되지 않고 즉, 베이스 전력이 여기된다. RF 전력이 ON 이기 때문에, 또한 베이스 전력이 여기된다. RF 전력이 OFF 되고 스파이크 시간 타이머가 200 msec 내지 250 msec의 시간 주기 동안 종료되면, 스파이크 전력 신호가 출력된다. 스파이크 전력 신호가 출력되어도, RF 전력이 OFF 이기 때문에, RF 전력은 여기되지 않는다. RF 전력이 0 msec의 시간에서 ON 이기 때문에, 스파이크 전력 신호는 이미 출력되고, 스파이크가 발생되어, 스파이크의 시작시에 스파이크 전력의 파라미터의 값을 변경 제거하여 아날로그 시작의 지체 없이 스파이크를 시작한다. 이 실시예에서, 스파이크의 타이밍은 RF 전력의 온-오프 신호와, 스파이크 전력(SP) 및 스파이크 시간(ST)에 의해 제어되고, 여기서, 스파이크 시간은 설정 값을 가지며, RF 전력이 ON 될 때 카운트를 시작하고 설정 값이 끝날 때까지 카운트를 계속하는 파라미터로서 사용되고, 스파이크 전력은 설정 값을 가지며, RF 전력의 ON-OFF 신호와 스파이크 시간에 의해 조절되는 파라미터로서 사용되고, 여기서, RF 전력이 OFF 이고 또한, 스파이크 시간이 카운트 되는 한편 스파이크 전력의 신호가 출력되고, RF 전력이 ON 이지만, 스파이크 시간이 카운트를 정지하는 한편 스파이크 전력 신호가 출력되지 않는다.
본 실시예에서는, 위에서 설명한 것과 같이, RF 전력을 0 msec에서 ON으로 하면, 스파이크 전력의 파라미터는, 값이 0으로부터 설정 값으로 상승하는 것을 제거하기 위해 스파이크에 대한 설정 값을 이미 가지고 있어서, 아날로그 시작 지연을 제거하게 된다. 더욱이, 설정된 스파이크 시간이 프로세스 모듈 컨트롤러에서 출력된 스파이크가 종료하는 신호를 기다리지 않고 종료될 때, 스파이크는 종료되고, 따라서 스파이크의 기간이 밀리세컨드에 의해 설정될 수 있다.
도 8에 도시된 바와 같이 스파이크 제어는 도 10에 도시된 순서도에서 보여주는 바와 같이 실행되는 프로그램을 사용하여 성취될 수 있다. 일부 실시예에서, 파라미터는 아래의 표 1과 같이 정의될 수 있다.
파라미터 신호 단위 비고
스파이크
전력
아날로그 W 베이스(또는 정상) RF 전력의 출력 값보다 높은 스파이크 RF 전력의 출력 값(범위가 베이스 전력의 것과 동일하기 때문에 출력 값이 이와 같이 사용될 수 있다)
스파이크
시간
아날로그 msec 한 자릿수의 밀리세컨드로 설정될 수 있는 스파이크 RF 전력의 출력 기간
베이스
전력
아날로그 W RF 발전기의 특성에 따라 설정될 수 있는 베이스 또는 정상 RF 전력의 출력 값
RF-ON 디지털 - RF 전력의 ON-OFF 스위칭
먼저, 아날로그 디지털 시스템(ADS)은 필요시 프로세스 모듈 컨트롤러(PMC)로부터 디바이스넷을 통해, 디지털 출력과 아날로그 출력(DO/AO) 신호를 얻는다(단계 1). ADS와 PMC는 예를 들면 제한된 데이터 전송 용량에 의해 매 50 msec 마다 또는 그 이하로 서로 통신할 수 없다. 다음으로, 스파이크 전력의 설정 값이 제로인지(단계 2), 그리고 스파이크의 설정 값이 제로인지가 결정된다(단계 3). 값이 제로이면, 스파이크 RF 모드가 활성화된다. 그런 다음, RF 전력이 ON인지 결정된다(단계 4). RF 전력의 온-오프 스위칭은 도 8에 도시된 바와 같이 별도로 제어된다. 예를 들어, 도 8에서, -50 msec에서 0 msec 까지의 시간 주기 동안 그리고, 200 msec에서 250 msec 까지의 시간 주기 동안. RF 전력이 ON이 아니면, RF-ON의 DO 신호가 OFF로 설정되고(단계 5), 스파이크 RF 모드의 상태가 "비 작동"으로 설정된다(단계 6). 그런 다음, 스파이크 RF 전력 (스파이크 전력)의 값은, RF 전력이 ON 일 때 스파이크에 대해 준비 되도록 출력되는 RF 전력으로 설정되고(단계 7), 여기서 RF 전력은 지체 없이 스파이크 전력으로 점프될 수 있다, 그 후, 모든 DO 신호와 모든 AO 신호는 ADS로부터 RF 발전기로 출력되고(단계 8과 단계 9), RF 발전기를 제어한다. RF 발전기가 디지털 및 아날로그 신호를 사용하여 작동되는 9 단계 이후에, 상기 순서가 단계 1로부터 시작하여 순서대로 반복된다.
단계 4에서, Yes 이면, 즉, RF 전력이 ON 이면, RF-ON의 DO 신호가 ON으로 설정된다(단계 10). 그런 다음, 스파이크 RF 모드가 "작동 중"인지 결정된다(단계 11). NO 인 경우, 스파이크 RF 모드는 "비 작동"이고, 스파이크 RF 모드의 완료 여부를 결정한다(단계 12). NO 인 경우, 스파이크 RF 전력 모드 상태가 "작동"으로 설정되고(단계 13), 그리고 스파이크 시간 타이머가 예를 들어, 도 8의 0 msec의 시간에 시작된다(단계 14). 스파이크 RF 전력(스파이크 전력)의 값은 출력되는 RF 전력으로서 이미 설정되고(단계 7), 단계 8과 9가 이어져, RF 전력이 지체 없이 스파이크 RF 전력의 설정 값으로 점프될 수 있다.
단계 12에서, YES 이면, 즉, 스파이크 RF 모드가 도 8에서 20 msec 으로부터 200msec 까지의 시간 주기 중에 완성되면, 정상 RF 전력(베이스 전력)의 값은 출력되는 RF 전력으로서 이미 설정되고(단계 17), 단계 8과 9가 이어진다.
단계 11에서, YES 이면 즉, 스파이크 RF 모드가 "작동 중"이면, 스파이크 RF 모드(스파이크 RF 작동 시간)가 종료되었는지를 결정하고(단계 15),아직 종료되지 않았으면, 예를 들어, 도 8에서 0 msec 으로부터 20msec 까지의 시간 주기 중에 단계 7, 단계 8, 그리고 단계 9가 수행되어, 스파이크 RF 전력의 설정 값이 여기된다. 단계 15에서, 스파이크 모드가 예를 들어, 도 8에서의 20 msec에서 종료되면, 스파이크 모드의 상태는 "완료"로 변경된다(단계 16). 그리고 정상 RF 전력(베이스 전력)의 값은 출력되는 RF 전력으로서 설정되고(단계 17), 단계 8과 9가 이어진다.
단계 2와 3에서, 스파이크 전력 또는 스파이크 시간의 값이 제로인 경우, 정상 RF 모드가 도 11에 도시된 바와 같이 작동된다. 즉, RF 전력이 ON 인지의 여부를 결정한다(단계 18). 만약 YES 이면, RF-ON의 DO 신호가 ON으로 설정되고(단계 19), 그리고 정상 RF 전력(베이스 전력)의 값은 출력되는 RF 전력으로서 설정되고(단계 21), 단계 8과 단계 9가 이어지고, 단계 1로 돌아간다. 단계 18에서, 만약 NO 이면, RF 전력은 ON이 아니고, RF-ON의 DO 신호가 OFF로 설정되고(단계 20), 단계 21, 단계 8과 단계 9가 이어진다.
상기 실시예에서, 스파이크의 타이밍은 프로그램되고, 스파이크는, 경과 시간에 기초하여 종료되게 프로그램되어 있고, 여기서 플라즈마가 점화된 후에, 스파이크가 끝나는 시간이 설정된다. 본 기술에 공지의 기술을 가진 자는 일정한 경험에 기초하여 플라즈마 점화의 타이밍을 쉽게 결정할 수 있다. 그러나. 일부 실시예에서, RF 발전기 및/또는 점화 광으로부터의 신호에 기초한 플라즈마 점화를 모니터하여, 플라즈마 점화가 감지될 때 스파이크가 종료된다. 일부 실시예에서, 플라즈마 점화는 광센서를 사용하여 상부 및 하부 전극들 사이의 점화 광을 모니터하여 검출된다. 일부 실시예에서, 플라즈마 점화는 RF 발전기의 매칭 박스에서 측정된 피크 대 피크 전압{peak-to-peak voltage (Vpp)} 및/또는 셀프 바이어스 전압{self-bias voltage (Vdc)}을 모니터하여 검출된다.
도 4는 플라즈마 강화 원자층 증착(PEALD)을 위한 장치를 개략적으로 도시하고, 본 발명의 실시예에 따른 플라즈마 점화를 나타내는 변수를 도시하는 도면이다. 사용가능한 장치들은 도 4에 도시된 장치에 제한되지 않으며 본 발명의 일부 실시예에서는 PEALD, PECVD, 순환 PECVD, 플라즈마 에칭, 플라즈마 클리닝, 또는 다른 플라즈마 처리를 위한 적당한 플라즈마 증착 장치가 적용될 수 있다. 이 장치들은 챔버(45), 상부 전극 또는 샤워헤드(47), 그리고 챔버(45) 내부에 설치된 하부 전극 또는 서셉터(susceptor)(46)를 포함한다. 기판 W 는 하부 전극(46) 상에 위치되고, 전구체 소스(41)로부터 공급된 전구체와, 건조 가스 소스(42)(다른 가스 소스는 도시되어 있지 않음)로부터 플라즈마와 반응하기 위한 챔버의 내부로 공급된 반응제를 사용하여 원자 층 증착을 받고, 상기 챔버는 배기 장치(49)를 사용하여 진공처리된다. 플라즈마는 RF 발전기로부터 매칭 박스(44)를 통해 RF 전력을 인가하여 전극들 사이에서 발생된다. 외부로부터의 점화 광을 모니터하기 위해, 챔버(45)는, 광 센서(33)가 상부 및 하부 전극들 사이의 공간에 직접 설치된, 뷰 포트(view port)(48)를 갖는다. 광 센서(33)는 광 섬유 케이블(32)을 통해 광 센서 다이오드 모듈(31)에 연결된다. 광 센서 다이오드 모듈(31)로부터, 아날로그 출력 신호가 ADS(도시 없음)로 출력된다. 도 4의 그래프(a)는 플라즈마 광을 모니터하는 일반적인 결과를 도시하며, 여기서 플라즈마 모니터링(플라즈마 모니터)의 펄스가 검출되고, 이 펄스는 RF 포워드 전력(fwd)의 펄스와 동시에 발생된다.
도 5a(정상 점화), 5b(점화 실패), 그리고 5c(스파이크 점화)는 도 4a에서 보다 더 자세히 도시된 것으로, 본 발명의 실시예에 따라 인가된 RF 포워드 전력(fwd)에 응답하는 플라즈마 모니터링(플라즈마 모니터)의 출력을 도시하는 그래프이다. 도 5a는 RF 포워드 전력(fwd)이 인가될 때, 플라즈마가 점화되는 것을 도시하며, 이것은 아날로그 신호(플라즈마 모니터)를 출력하는 광 센서(플라즈마 센서)에 의해 검출되고, 그 후에, 신호가 유지되고, RF 전력이 OFF 될 때까지 플라즈마가 유지되는 것을 나타낸다. 그러나, 도 5b에 도시된 바와 같이, RF 전력의 전압이 점화 전압 근처에 있게 되면, RF 포워드 전력(fwd)이 인가되어도 때때로 신호가 광 센서에 의해 검출되지 않아, 플라즈마 점화가 발생되지 않았다고 나타난다. 도 5c에서, 도 5a에서 사용된 전력보다 큰 RF 전력이 RF 전력 적용의 시작시에 인가되면, 광 센서로부터의 아날로그 신호 출력이 검출되어, 플라즈마 점화가 발생하였음을 나타내고, 검출 후에 즉시, RF 전력이 5a에서와 동일한 전력으로 감소하고, 도 5c에 도시된 RF 전력의 스파이크를 구성한다. RF 전력의 스파이크를 인가함에 의해, 도 5b에 도시된 것과 같은 점화 실패가 방지될 수 있다.
도 4로 돌아가서, 플라즈마 점화를 모니터하기 위해, 챔버(45)는 부가적으로 또는 대안적으로 RF 발전기의 매칭 박스(44)에서 측정된 피크 대 피크 전압(Vpp) 및/또는 셀프 바이어스 전압(Vdc)을 모니터하기 위한 다른 검출 시스템을 갖는다. 도 4에서 그래프(b)는 플라즈마 점화를 모니터하는 일반적인 결과를 도시하며, 여기서 Vdc와 Vpp의 펄스가 검출되고, 이 펄스는 RF 포워드 전력(fwd)의 펄스와 동시에 발생된다.
도 6a(정상 점화), 6b(점화 실패), 그리고 6c(스파이크 점화)는 도 4b에서 보다 더 자세히 도시된 것으로, 본 발명의 실시예에 따른 인가된 RF 포워드 전력(fwd)에 응답한 Vdc와 Vpp의 출력을 도시하는 그래프이다. 도 6a는 RF 포워드 전력(fwd)이 인가될 때, 플라즈마가 점화되는 것을 도시하며, 이것은 Vdc를 변화시켜, Vpp의 펄스가 플라즈마가 점화에 관계없이 RF 전력의 펄스와 상당히 관련하면서 Vdc의 하향 펄스를 발생시킨다. 그러나, 도 6b에 도시된 바와 같이, RF 전력의 전압이 점화 전압 근처에 있게 되면, RF 포워드 전력(fwd)이 인가되어도, 때때로 Vdc의 변화가 검출되지 않아, 플라즈마 점화가 발생하지 않았다고 나타난다. 도 6c에서, 도 6a에서 사용된 전력보다 큰 RF 전력이 RF 전력 적용의 시작시에 인가되면, Vdc의 변화가 검출되어, 플라즈마 점화가 발생하였음을 나타내고, 검출 후에 즉시, RF 전력이 6a에서와 동일한 전력으로 감소하고, 도 6c에 도시된 RF 전력의 스파이크를 구성한다. Vpp의 스파이크는 RF 전력의 스파이크를 반영한다. RF 전력의 스파이크를 인가함에 의해, 도 6b에 도시된 것과 같은 점화 실패가 방지될 수 있다.
상술한 실시예 및 다른 실시예들에서, 당업자는 상기 장치들이 프로그램된 하나 이상의 컨트롤러(들)(도시 없음), 또는 여기 어디엔가 기술된 상기 검출 프로세스를 구현할 수 있는 다른 구성부를 포함할 수 있음을 인식할 수 있다. 상기 컨트롤러(들)은 당업자들에게 인식될 수 있는 바와 같이, 전력 소스, 가열 시스템, 펌프, 로봇 및 가스 흐름 컨트롤러, 또는 반응기의 밸브들과 연통할 수 있다.
상술한 실시예들이 본 발명을 제한할 의도가 아닌 특정 예들을 참조하여 설명된다. 특정 예들에 부여된 수치들은 다른 조건에서 적어도 ±50 %의 범위로 수정될 수 있고, 여기서 범위의 종점(endpoints)은 포함되거나 또는 배제될 수 있다. 본 명세서에서, 조건 및/또는 구조들은 특정화되지 않으며, 당업자는 일반적인 경험에 의해 본 기술사항의 관점에서 이러한 조건 및/또는 구조들을 용이하게 제공할 수 있다.
[예]
반도체 기판(직경이 300mm)이 붕규산 유리(BSG)의 PEALD을 위하여 도 4에 도시된 장치의 325mm의 직경을 갖는 서셉터 상에 적재되었고, BSG의 막이 다음 조건하에서 기판상에 증착되었다.
BSG 를 위한 PEALD :
전구체 : BDEAS, TEOB
전구체의 유입 압력 : 400Pa
기판 온도 : 300 ℃
캐리어 가스 흐름 : 2.0SLM (연속)
반응물 가스 흐름 : 0.5SLM (연속)
사이클당 전구체 공급 시간 : 0.3 초
전구체 펄스 후 퍼지 시간 : 1.0 초
RF 주파수 : 13.56 MHz
사이클당 RF 플라즈마 여기 시간 : 0.2 초
RF 적용 사이클 후 퍼지 시간 : 0.1 초
RF 전력 적용의 각 사이클은 하기의 표 2에 도시된 조건하에서 도 10과 11에 도시된 순서로 실행되는 프로그램을 사용하여 도 2b에 기초하여 제어되었다. 각각의 조건하에서, 플라즈마 점화 실패율(RF 전력이 전극들에 인가될 때의 총 횟수에 대한 RF 전력이 전극들에 인가될 때 프라즈마가 지체없이 발생되는 횟수의 비)이 결정되고, 그 결과가 표 2에 또한 도시되었다.
No 조건 플라즈마 점화
실패율
베이스
전력
스파이크
전력
스파이크
기간
1 50 W 없음 없음 35 %
2 50 W 100 W 10 msec 35 %
3 50 W 200 W 10 msec 35 %
4 50 W 300 W 10 msec 15 %
5 50 W 400 W 10 msec 0 %
6 50 W 500 W 10 msec 0 %
7 50 W 100 W 20 msec 35 %
8 50 W 200 W 20 msec 5 %
9 50 W 100 W 50 msec 30 %
10 50 W 200 W 50 msec 0 %
표 2에 도시된 바와 같이, 스파이크가 예 1에서 인가되지 않았을 때, 점화 실패율은 35 % 였고, 이것은 50 W가 점화 전압 근처의 RF 전력인 것을 나타내는 것으로 고려된다(점화 실패율이 20 % 보다 클 때, 예를 들어, RF 전력이 조건들 하에서 점화 전압 근처로 설정되도록 고려된다). 100 W의 스파이크 전력과 10 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 2에서 인가될 때, 점화 실패율은 여전히 35%이며 개선되지 않았다. 더욱이, 100 W의 스파이크 전력과, 예 2에서의 시간의 두 배인, 20 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 7에 인가되면, 점화 실패율은 여전히 35%이며 개선되지 않았다. 더욱이, 100 W의 스파이크 전력과, 예 2에서의 시간의 5 배인, 50 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 9에 인가되면, 점화 실패율은 여전히 30% 만큼 높으며 상당하게 개선되지는 않았다. 따라서, 스파이크 전력이 높지않을 때, 심지어 기간이 연장된다 하여도, 점화 실패율은 거의 개선되지 않았다.
예 2에서의 전력의 두 배인 200 W의 스파이크 전력과, 10 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 3에 인가되면, 점화 실패율은 여전히 35 %이며 개선되지 않았다. 그러나, 200 W의 스파이크 전력과, 예 3에서의 두 배인, 20 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 8에 인가되면, 점화 실패율은 5 %로 상당히 감소하며, 상당하게 개선되었다. 200 W의 스파이크 전력과, 예 3에서의 시간의 5 배인, 50 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 10에 인가되면, 점화 실패율은 대폭적으로 0 %로 감소되며 대폭적으로 개선되었다. 예 2에서의 전력의 3 배인 300 W의 스파이크 전력과, 10 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 4에 인가되면, 점화 실패율은 대폭적으로 15 %로 상당히 감소하며, 상당하게 개선되었다. 또한, 예 2에서의 전력의 4 배인 400 W의 스파이크 전력과, 10 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 5에 인가되면, 점화 실패율은 대폭적으로 0 %로 감소되며 대폭적으로 개선되었다. 더욱이, 예 2에서의 전력의 5 배인 500 W의 스파이크 전력과, 10 밀리세컨드의 스파이크 기간을 갖는 스파이크가 예 6에 인가되면, 점화 실패율은 대폭적으로 0 %로 감소되며 대폭적으로 개선되었다. 따라서, 적당한 시간 주기(예를 들면, 약 200 W에서 약 20 밀리세컨드; 약 300 W에서 약 10 밀리세컨드)에 대하여 적어도 200 W의 RF 전력을 갖는 스파이크를 사용함에 의해, 점화 실패율은 상당하게 개선될 수 있다.
다음, 하기사항을 제외하고는 상기와 동일한 조건하에서, 붕규산 유리(BSG)가 4개의 기판상에 증착되었다 ; 스파이크 없이 50 W, 20 밀리세컨드에 대하여 200 W의 스파이크, 50 밀리세컨드에 대하여 200 W의 스파이크, 그리고 스파이크 없이 200 W. 이렇게 얻어진 기판들은 BSG 막을 제거하고 기판의 표면을 노출시키기 위해 DHF에 침지 되었고, 그 표면들은 기판들 안으로 침투된 붕소의 농도를 측정하기 위해 2차 이온 질량 분광 분석법(second ion mass spectrometry)(SIMS) 처리를 하였다. 그 결과가 도 3에 도시되어 있다. 스파이크 없이 50 W의 RF 전력이 기판상의 BSG 막의 증착물에 인가되면, 점화는 상기 표 2에 도시된 바와 같이 불안정하고(예 1), 기판에 침투되어 확산된 붕소의 농도는 도 3에 도시된 바와 같이 4E+16 원자/cm3 의 순서 내에 있고, 도판트(dopant) 붕소의 충격 손상(bombardment)은 심각하지 않다. 반면, 스파이크 없이 200 W의 RF 전력이 기판상의 BSG 막의 증착물에 인가되면, 점화는 안정하지만(0%의 점화 실패), 기판에 침투되어 확산된 붕소의 농도가 도 3에 도시된 바와 같이 1.5E+17 원자/cm3 의 순서로 증가하였고, 도판트 붕소의 충격 손상은 상당하였다. 50 msec에 대하여 200 W의 스파이크를 갖는 RF 전력이 기판상의 BSG 막의 증착물에 인가되면, 점화는 표 2에 도시된 바와 같이 안정하지만(예 10, 0%의 점화 실패), 기판에 침투되어 확산된 붕소의 농도가 도 3에 도시된 바와 같이 스파크 없이 200 W의 RF 전력을 인가하는 경우와 거의 동일한 레벨로 증가하였고, 스파이크의 기간이 너무 길 경우(약 50 msec 또는 그 이상), 도판트 붕소의 충격 손상은 스파이크 전력의 연속 적용에서와 같이 상당하였다. 20 msec에 대하여 200 W의 스파이크를 갖는 50 W의 RF 전력이 기판상의 BSG 막의 증착물에 인가되면, 점화는 표 2에 도시된 바와 같이 안정하지만(예 8, 5%의 점화 실패), 기판에 침투되어 확산된 붕소의 농도가 증가하지 않고, 도 3에 도시된 바와 같이 스파크 없이 50 W의 RF 전력을 인가하는 경우와 거의 동일한 레벨이었고, 스파이크의 기간이 충분히 짧을 경우(약 20 msec 또는 더 짧은), 도판트 붕소의 충격 손상은 스파이크 없는 베이스 전력의 연속 적용에서와 같이 제어되었고, 스파이크는 기판을 거의 변화시키지 않았다.
상기에서 보여주는 바와 같이, 스파이크 전력(P[W])과 스파이크 시간(T[msec])은 적당하게 설정되었고, 플라즈마 점화가 스파이크에 의해 기판을 거의 변화시키지 않고 효과적으로 안정화될 수 있다. 적당한 P와 T는 기판의 재료, 프로세스의 형식 등에 의존하지만, 당업자들은 이 명세서와 부가적인 일반적 경험에 기초하여 적당한 P와 T를 결정할 수 있다. 일부 실시예에서, 방정식 P=(2000/T)+100 이 325mm의 직경을 갖는 서셉터에 적용되고, P±20% 및 T±20% 가 채용될 수 있다. 일부 실시예에서, 하부 전극의 영역당 스파이크의 전력, P가 0.15 W/cm2 내지 0.6 W/cm2 으로 설정되고, 스파이크의 기간[msec]은 P±50% 및 T±20% 이고, 여기서 T=2.4(P-0.12)이다.
일부 실시예에서, 본 발명은 발명의 배경에서 기술한 프로세스를 포함하는 적당한 플라즈마 처리공정에 적용된다.
당업자는 많은 그리고 다양한 변경이 본 발명의 정신을 벗어남이 없이 행해질 수 있음을 이해할 것이다. 따라서, 본 발명의 양식은 본 발명의 영역을 제한할 의도가 아니고 단지 설명을 위한 것임을 명백히 이해하여야 한다.

Claims (14)

  1. 기판 상에 실시된 연속 공정에서 플라즈마 점화를 안정화시키기 위한 방법에 있어서,
    상기 기판이 위치되는 상부 전극과 하부 전극 사이에 RF 전력의 스파이크를 인가하되, 상기 스파이크는 제로 전력에서 시작하여 스파이크 전력으로 점프하고, 그리고 나서 플라즈마 점화 실패를 야기시킬 만큼 낮은 베이스 전력으로 강하하는 단계; 및
    상기 기판을 처리하는 스파이크의 지속기간보다 긴 기간 동안 상부 및 하부 전극 사이의 베이스 전력에서 RF 전력을 연속하여 인가하는 단계;를 포함하되,
    상기 스파이크는 점화 실패가 감소되도록 하는 플라즈마 점화 안정화 방법.
  2. 제 1항에 있어서,
    상기 베이스 전력이 점화 전압의 근처에 설정되는 플라즈마 점화 안정화 방법.
  3. 제 2항에 있어서,
    상기 베이스 전력은 약 0.12 W/cm2 미만이고, 상기 스파이크 전력은 상기 하부 전극의 면적당 약 0.12 W/cm2 초과인 플라즈마 점화 안정화 방법.
  4. 제 3항에 있어서,
    상기 스파이크 전력은 상기 하부 전극의 면적당 0.36 W/cm2 이하인 플라즈마 점화 안정화 방법.
  5. 제 1항에 있어서,
    상기 스파이크는 플라즈마가 점화된 후 종결되는 플라즈마 점화 안정화 방법.
  6. 제 5항에 있어서,
    상기 스파이크는 스파이크 없이 베이스 전력을 사용하는 것을 제외하고는 동일하게 처리된 막과 비교하여 상기 스파이크가 상기 기판 상의 막에 실질적인 변화를 야기시키기 전에 종결되는 플라즈마 점화 안정화 방법.
  7. 제 5항에 있어서,
    상기 스파이크는 스파이크의 시작의 20 msec 이내에 종결되는 플라즈마 점화 안정화 방법.
  8. 제 5항에 있어서,
    RF 발전기 및/또는 점화광으로부터의 신호에 기초하여 플라즈마 점화를 모니터하는 단계와,
    플라즈마 점화가 감지될 때 상기 스파이크를 종결하는 단계를 더 포함하는 플라즈마 점화 안정화 방법.
  9. 제 8항에 있어서,
    상기 플라즈마 점화는 광센서를 사용하여 상기 상부 및 하부 전극의 사이의 점화광을 모니터함으로써 검출되는 플라즈마 점화 안정화 방법.
  10. 제 8항에 있어서,
    상기 플라즈마 점화는 상기 RF 발전기의 매칭박스에서 측정된 피크 대 피크 전압(Vpp) 및/또는 셀프 바이어스 전압(Vdc)을 모니터링함으로써 검출되는 플라즈마 점화 안정화 방법.
  11. 제 5항에 있어서,
    상기 스파이크는 미리 설정된 시간 주기 내에서 종결되는 플라즈마 점화 안정화 방법.
  12. 제 11항에 있어서,
    상기 스파이크의 타이밍은 RF 전력의 온-오프 신호, 스파이크 전력(SP) 및 스파이크 시간(ST)에 의해 제어되고, 상기 스파이크 시간은 설정된 값을 가지며, RF 전력이 ON 될 때 카운트를 시작하고 설정 값이 끝날 때까지 카운트를 계속하는 파라미터로서 사용되고, 상기 스파이크 전력은 설정값을 가지며, RF 전력의 온-오프 신호와 스파이크 시간에 의해 조절되는 파라미터로서 사용되고, 여기서, RF 전력이 OFF 이고 또한, 스파이크 시간이 카운트 되는 한편 스파이크 전력의 신호가 출력되고, RF 전력이 ON 이지만, 스파이크 시간이 카운트를 정지하는 한편 스파이크 전력 신호가 출력되지 않으며, 여기서, RF 전력이 ON이 되면, 스파이크가 발생되어, 스파이크의 개시시에 스파이크 전력의 파라미터의 값을 제거 변경하여 아날로그 시작 지연 없이 스파이크를 시작하게 되는 플라즈마 점화 안정화 방법.
  13. 제 12항에 있어서,
    상기 스파이크 시간의 지속기간은 20 msec 로 설정되는 플라즈마 점화 안정화 방법.
  14. 제 1항에 있어서,
    상기 연속 공정은 플라즈마 강화 원자층 증착(PEALD)의 공정인 플라즈마 점화 안정화 방법.
KR1020130098575A 2012-09-05 2013-08-20 플라즈마 점화 안정화 방법 KR102175661B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/604,498 US8742668B2 (en) 2012-09-05 2012-09-05 Method for stabilizing plasma ignition
US13/604,498 2012-09-05

Publications (2)

Publication Number Publication Date
KR20140031794A true KR20140031794A (ko) 2014-03-13
KR102175661B1 KR102175661B1 (ko) 2020-11-09

Family

ID=50186549

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130098575A KR102175661B1 (ko) 2012-09-05 2013-08-20 플라즈마 점화 안정화 방법

Country Status (4)

Country Link
US (1) US8742668B2 (ko)
JP (1) JP6404549B2 (ko)
KR (1) KR102175661B1 (ko)
TW (1) TWI577829B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170105883A (ko) * 2016-03-10 2017-09-20 에이에스엠 아이피 홀딩 비.브이. 플라즈마 안정화 방법 및 이를 이용한 증착 방법

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9577516B1 (en) * 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108471666B (zh) * 2017-02-23 2021-06-08 北京北方华创微电子装备有限公司 一种等离子体产生方法及装置和半导体处理设备
JP6807792B2 (ja) * 2017-03-27 2021-01-06 東京エレクトロン株式会社 プラズマ生成方法及びこれを用いたプラズマ処理方法、並びにプラズマ処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019118601A1 (en) * 2017-12-13 2019-06-20 Applied Materials, Inc. Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05195229A (ja) * 1991-07-06 1993-08-03 Carl Zeiss:Fa Cvdプラズマの点火の処理方法と装置
JP2003303814A (ja) * 2002-04-11 2003-10-24 Matsushita Electric Works Ltd プラズマ処理装置及びプラズマ処理方法
JP2007503096A (ja) * 2003-08-18 2007-02-15 エム ケー エス インストルメンツ インコーポレーテッド スパッタ処理システムにおけるプラズマ遷移の制御
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
KR20110136805A (ko) * 2009-02-27 2011-12-21 엠케이에스 인스트루먼츠, 인코포레이티드 반응성 가스 생성기에서 플라즈마를 점화 및 유지하기 위한 전력을 공급하는 방법 및 장치

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414492A (en) * 1982-02-02 1983-11-08 Intent Patent A.G. Electronic ballast system
US5404082A (en) * 1993-04-23 1995-04-04 North American Philips Corporation High frequency inverter with power-line-controlled frequency modulation
US5997768A (en) 1993-06-29 1999-12-07 Ciba Specialty Chemicals Corporation Pelletization of metal soap powders
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6137240A (en) * 1998-12-31 2000-10-24 Lumion Corporation Universal ballast control circuit
JP2001207268A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2001207265A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP4032625B2 (ja) * 2000-09-27 2008-01-16 松下電工株式会社 プラズマ処理装置及びプラズマ点灯方法
US7988833B2 (en) * 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US20050069651A1 (en) 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
JP4560681B2 (ja) * 2004-12-24 2010-10-13 ミネベア株式会社 多灯式放電灯点灯装置
JP2006188729A (ja) * 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
JP2006278058A (ja) * 2005-03-28 2006-10-12 Matsushita Electric Works Ltd プラズマ処理装置
US20060278524A1 (en) * 2005-06-14 2006-12-14 Stowell Michael W System and method for modulating power signals to control sputtering
US7906910B2 (en) * 2005-10-27 2011-03-15 Luxim Corporation Plasma lamp with conductive material positioned relative to RF feed
US7638951B2 (en) * 2005-10-27 2009-12-29 Luxim Corporation Plasma lamp with stable feedback amplification and method therefor
US7994721B2 (en) * 2005-10-27 2011-08-09 Luxim Corporation Plasma lamp and methods using a waveguide body and protruding bulb
JP4943780B2 (ja) 2006-08-31 2012-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP2008066159A (ja) * 2006-09-08 2008-03-21 Noritsu Koki Co Ltd プラズマ発生装置およびそれを用いるワーク処理装置
JP2008202107A (ja) 2007-02-21 2008-09-04 Hitachi Kokusai Electric Inc 基板処理装置
US8138676B2 (en) * 2008-12-01 2012-03-20 Mills Robert L Methods and systems for dimmable fluorescent lighting using multiple frequencies
US8004198B2 (en) * 2009-05-28 2011-08-23 Osram Sylvania Inc. Resetting an electronic ballast in the event of fault

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05195229A (ja) * 1991-07-06 1993-08-03 Carl Zeiss:Fa Cvdプラズマの点火の処理方法と装置
JP2003303814A (ja) * 2002-04-11 2003-10-24 Matsushita Electric Works Ltd プラズマ処理装置及びプラズマ処理方法
JP2007503096A (ja) * 2003-08-18 2007-02-15 エム ケー エス インストルメンツ インコーポレーテッド スパッタ処理システムにおけるプラズマ遷移の制御
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
KR20110136805A (ko) * 2009-02-27 2011-12-21 엠케이에스 인스트루먼츠, 인코포레이티드 반응성 가스 생성기에서 플라즈마를 점화 및 유지하기 위한 전력을 공급하는 방법 및 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170105883A (ko) * 2016-03-10 2017-09-20 에이에스엠 아이피 홀딩 비.브이. 플라즈마 안정화 방법 및 이를 이용한 증착 방법

Also Published As

Publication number Publication date
JP6404549B2 (ja) 2018-10-10
JP2014051738A (ja) 2014-03-20
KR102175661B1 (ko) 2020-11-09
US8742668B2 (en) 2014-06-03
US20140062304A1 (en) 2014-03-06
TW201418511A (zh) 2014-05-16
TWI577829B (zh) 2017-04-11

Similar Documents

Publication Publication Date Title
KR102175661B1 (ko) 플라즈마 점화 안정화 방법
KR102465689B1 (ko) 듀얼 플레넘 샤워헤드를 사용하는 준안정 활성화된 라디칼의 선택적인 스트립 및 에칭 시스템들 및 방법들
KR102306470B1 (ko) 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법
JP6653577B2 (ja) プラスマエンハンスト原子層エッチングの方法
US6815362B1 (en) End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
WO2005104186B1 (en) Method and processing system for plasma-enhanced cleaning of system components
KR102409021B1 (ko) 플라즈마 불안정성의 uv 기반 억제를 위한 시스템들 및 방법들
JP2011211016A (ja) 半導体装置の製造方法
JP5530350B2 (ja) プラズマ成膜方法、およびプラズマcvd装置
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
KR101238086B1 (ko) 기판 처리 방법
US20040235303A1 (en) Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
KR102192604B1 (ko) 플라스마 이상 판정 방법, 반도체 장치의 제조 방법 및 기판 처리 장치
KR102456224B1 (ko) 피처리체를 처리하는 방법
KR20220044845A (ko) 마스크 형상을 제어하고 선택도 대 프로세스 마진 트레이드 오프를 파괴하기 위한 멀티-상태 rf 펄싱
US20170069469A1 (en) Cyclical plasma etching
KR20220002748A (ko) 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
KR100541195B1 (ko) 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
JP3084024B1 (ja) プラズマcvd装置のチャンバークリーニング方法およびプラズマcvd装置
JP2000200772A (ja) プラズマ処理方法
JP5129112B2 (ja) 半導体装置の製造方法および半導体製造装置
KR20240047769A (ko) 가스 펄싱을 이용한 원자층 식각 방법
KR20220093499A (ko) F3no 가스를 이용한 반도체 및 디스플레이 화학기상 증착 챔버의 건식 세정 방법
US20190287809A1 (en) Substrate processing apparatus, substrate processing method, and semiconductor device fabrication method
US20050025906A1 (en) Method for improving film uniformity in plasma enhanced chemical vapor deposition system

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant